450mm晶圆CMP设备技术现状与展望

2014-03-23 08:16周国安
电子工业专用设备 2014年3期
关键词:抛光液修整尺寸

柳 滨,周国安

(中国电子科技集团公司第四十五研究所,北京100176)

晶圆尺寸的更新换代一般都需要10年左右,如200 mm 晶圆是1991年诞生的,现在广泛使用的300 mm 晶圆则是Intel 在2001年引入的,并首先用于130 nm 工艺处理器。450 mm 晶圆无论是硅片面积还是切割芯片数都是300 mm 的2 倍多,因此每颗芯片的单位成本都会大大降低。另外,大尺寸晶圆还会提高能源、水等资源的利用效率,减少对环境污染、温室效应全球变暖、水资源短缺的影响。

2007年,ISMI(International SEMATECH Manufacture Initiative) 强调目前半导体生产依然遵循摩尔定律,指出未来生产成本需要降低30%,产品生产周期需要改善50%,而这种需求只有过渡到450 mm 晶圆尺寸才能做到。

2008年,Intel、Samsung、TSMC、ISMI 和 半 导体设备供应商决定共同合作发展450 mm 晶圆,初始目标定于2012年组建450 mm 试验性生产线,该实验线原计划于2013-2014年形成运行能力,于2015-2016年形成450 mm 的正式生产线。这个蓝图被写入到2009 和2010年的ITRS(半导体协会技术发展路线图)中。但是2012年的ITRS被重新修改,原定计划目标全部推迟2年。

随着晶圆尺寸的逐步扩大,资金投入成比例的扩大,半导体芯片制造厂需要承担着前期的建厂费用,如从100 mm(4 英寸)生产线投资约1 亿美金,到目前主流的300 mm(12 英寸)生产线大约需要投资20~25 亿美金。在今后几年内,随着450 mm 的时代来临,工厂的自动化水平将遍及到机器之间,并且带有集成的工艺检测系统。更多高水平的工艺将会要求更高产量的晶圆制造厂,这些工厂具有更精密的工艺自动化和工厂管理。大工厂的成本至少在100 亿美元以上,甚至高达250~300 亿美元,巨大的建厂费用非一般企业所能承担,其前期的研发费用也异常惊人,因此全球五大半导体业者IBM、英特尔(Intel)、三星电子(SamsungElectronics)、台积电(TSMC) 和Global-Foundries 在2011年共同成立全球450 mm 联盟(Global450Consortium,简称G450C),并于美国纽约州Albany 设立研发中心,前期已经投入44 亿美金,加速推动450 mm 晶圆尺寸时代的到来。

根据2012年ITRS(半导体行业协会路线图)规划,450 mm 晶圆尺寸生产材料及设备制造商,应当在2013-2014年形成生产能力,并提供相应设备给IDM(集成器件制造商)和Foundry(代工厂)。

只有使得芯片制造商与设备制造商同时实现双赢,才能持续推动半导体产业的健康发展。同样设备制造商(OEM)需要投资较之之前比例更多的研发费用,才能实现450 mm 晶圆苛刻的工艺及技术要求。随着晶圆尺寸的增大,对于系统的集成、系统的自动化、材料的特殊要求及整体功耗等都对设备制造商提出更高的要求。从多层金属互联开始(超过三层,大约0.25 μm 技术节点),CMP 就成为芯片制造关键和必需设备之一,随着技术节点的持续降低,对于金属和介质的平坦化次数越来越多,且对均匀性的要求越来越高,CMP 技术日益凸显其重要性。

没有CMP 技术,更高节点(低于0.35 μm 技术节点)的光刻将无法实施;同时,CMP 技术还能实现复杂层结构的制造技术,在450 mm 晶圆尺寸情况下,对CMP 技术主要需要为:

(1)随着半导体器件特征线宽的不断减小,光刻设备分辨率的要求越来越高;

(2)多层互联技术发展的需要;

(3)浅沟道隔离工艺技术应用的需要;

(4)铜工艺技术引入的需要;

(5)低K 介质工艺的要求;

(6)HKMG 工艺的要求;

(7)FinFET 工艺的要求。

因此对于450 mm 晶圆尺寸CMP 设备的技术研究及探讨具有重要的前瞻性实用价值。

1 CMP 耗材的最新进展

450 mm CMP 设备研发同时,CMP 耗材同时起步,已经取得了很多成果。

1.1 新型抛光液研究进展

450 mm CMP 工艺中,新型抛光液主要集中在HKMG、FinFET CMP 工艺应用上。新型抛光液的目前研究成果是:抛光液的化学去除作用效果比机械去除作用效果要大,来减小机械作用造成的缺陷,抛光液中研磨剂的材料基本采用氧化铈材料替代传统硅石磨料。450 mm 工艺中,晶体管立体栅极堆栈工艺和新材料的引入,使晶体管制造更为复杂,控制要求越来越高,所以抛光液对新型材料的选择性决定了平坦化工艺缺陷降低的成败。

陶氏化学(Dow chemical)旗下的陶氏电子材料发布的RL310 具备无研磨颗粒、自停(self-stop-ping)特点,在300 mm 的IDM(集成器件制造商)的90~45 nm 使用已经超过3年;其下一代的无研磨颗粒解决方案也被领先的IDM(集成器件制造商)选为14 nm 节点的记录制程(process record)。

1.2 新型抛光垫研究进展

抛光垫技术进步相对于抛光液要缓慢。进入21 世纪后,抛光垫技术进步主要集中在提高工艺能力、降低工艺缺陷方面。450 mm 工艺中,所需的抛光垫直径达到1 067 mm(42 英寸)以上,抛光垫修整模式及抛光垫表面形貌对平坦化的质量影响研究正在深入。另一方面,在保证平坦化质量的前提下,研究抛光垫表面形貌,为抛光液最大应用效能研究提供支撑。美国陶氏化学(Dow chemical)旗下的陶氏电子材料公司推出了达到最高性能水平的IKONIC○TMCMP 研磨垫系列产品,该产品旨在用于28 nm 及以下技术节点的CMP 应用,目前在实验室和试验线测试评估。

1.3 抛光垫修整器

3M 公司占据了CMP 修整器的主要市场。抛光垫修整器用于抛光垫形貌修整,修整器的研究集中在修整器尺寸、金刚石颗粒粒度、金刚石颗粒密度、排列方式、粘接方式方面的研究。面对450 mm 工艺线要求,450 mm CMP 修整器相对于300 mm CMP 尺寸要大,金刚石颗粒的粘接方式是主要研究内容,以至于保证修整器寿命的同时,不产生金刚石颗粒的脱落,造成对晶圆的划伤。

2 关键技术展望及分析

以上耗材独立于CMP 设备先期发展并取得了实用化的效果,这为450 mmCMP 提供了便利条件。而CMP 设备也正在开发中,目前CMP 设备两大厂商AMAT(美国应用材料)及Ebara(日本荏原)占据着300 mm 晶圆的90%以上的市场,在450 mm 晶圆的CMP 设备上,这两家设备制造商必定会不甘落后,暗暗研发设备及工艺,抢先占领市场先机。

对于450mm 的CMP 设备而言,其主要趋势:

2.1 系统集成技术

CMP 主要工艺是针对STI(浅沟道隔离)、ILD(层间介质)、Tungsten(钨),Copper(铜)应用,仍然会延续到450 mm 工艺中,但从14 nm 节点开始,不论是逻辑芯片还是存储芯片,器件的HKMG(高K 金属栅)及FinFET 结构是必然要求,所以针对HKMG 及FinFet工艺要求是450 mm 晶圆CMP 主要出发点。

由于HKMG 及FinFET 结构的薄膜厚度向10 nm 以下厚度方向发展,对CMP 设备精度及控制提出了更高的要求。在300 mm CMP 所有工艺方案中,以AMAT(应用材料)的三步工艺(三台抛光)占据主流,到450 mm CMP 工艺方案中,有可能回归到二步工艺方案。这不只是为了减小CMP 设备的平面尺寸的要求,主要驱动力是薄膜厚度实时控制要求。这同样对CMP 关键环节-各类抛光液的研发提出了更高的要求。目前可喜的情况是抛光液研发是不依赖于450 mm CMP 设备而提前进行了研发,并已产生实际的成果。

既然450 mm CMP 工艺方案有可能回归到二步工艺方案,那么只能从CMP 设备总体布局上要求。因此,设备的总体布局难点是设备布局不仅要适应于各种CMP 工艺的功能,而且要适应于设备本身的功能方案需要重新设计而不是300 mm 晶圆CMP 设备总体布局的延伸。

2.2 多区域压力控制承载器技术

由于CMP 设备抛光运动方式在200 mm 工艺时期已经统一到旋转运动方式上,并显示出其优越性,那么在450 mm 工艺中,CMP 设备抛光运动方式仍然会沿用旋转运动方式。另一方面,为了解决旋转运动方式在抛光过程中的综合去除率不一致问题,多区域压力控制承载器技术应用产生,该技术在450 mm CMP 设备中将延伸应用。但在技术层面,面临以下难点:

(1)相比300 mm CMP 设备的多区域压力控制承载器,450 mm 晶圆CMP 设备承载器将采用6~8 个区域设计,使得承载器结构复杂,相关薄膜配件制造复杂。

(2)承载器耗材之一保持环需要按照“快速更换”思路设计,增大了保持环和承载器结构的复杂性。

(3)由于铜抛光和HKMG 工艺抛光的压力要求越来越小(可能小于2.07×10-3MPa),抛光下压力精度维持性及区域压力精度维持性要求很高,流体系统的控制分辨率要求小于0.14×10-3MPa,使得低压力流体控制阀分辨率精度再次提高。采用超低压力且较高精度维持性的流体系统及其控制系统,形成450 mm CMP 设备独特的技术。

2.3 抛光垫修整技术

从CMP 技术产生和广泛应用到现在,抛光垫修整技术一直采用金刚石轮修整技术,用来维持抛光垫稳定的去除速率,延长抛光垫使用寿命。但随着晶圆直径的增大和新型抛光垫的产生,金刚石轮修整技术主要面向不产生工艺缺陷的方向发展。但是,金刚石轮掉渣的风险依然存在,这对于450 mm 工艺是致命的问题。因此研发一种不产生污染的抛光垫修整技术和方法,成为450 mm CMP 工艺的独特技术。

2.4 终点检测技术

由 于STI、HKMG 及Copper 等CMP 工 艺 薄膜控制厚度小于10 nm 以下,终点检测精度是重点攻克的技术。

(1)采用全断面涡流扫描技术将延伸到450 mm应用。但涡流频率将提高,同时实时扫描算法研究将随着测试环境的多样化来修正,形成450 mm CMP工艺独特的技术。

(2) 采用全断面光学扫描技术也将延伸到450 mm 应用,仍将采用白光光源。但光源需要通过实验测定,实时扫描算法研究将随着测试环境的多样化以及抛光材料种类的多样化,并通过实验来修正,形成450 mm CMP 工艺独特的技术。

2.5 后清洗技术

200 mm 工艺中,CMP 后清洗系统可相对于CMP 离线配合应用。进入300 mm 工艺,CMP 集成后清洗系统已经成为CMP 设备的标准模组。

集成电路制造中清洗工艺占整个工艺过程中的30%左右,随着制造节点不断缩小,清洗效果不仅要求越来越高,而且对器件的微结构损伤要求越来越苛刻。在14 nm 节点,后清洗颗粒的指标,要求大于30 nm 的缺陷颗粒小于10 颗,这就为CMP 后清洗提出更高挑战。

在300 mm CMP 设备技术中,AMAT 公司后清洗技术由于技术需要和商业供应链原因研发了第四代后清洗系统。以Reflexion LK CMP 设备为代表的垂直清洗、IPA 干燥的后清洗技术,从2008年普遍采用后,且延伸应用到14 nm 工艺节点。但是,即使Reflexion LK CMP 采用了当前最先进后清洗技术,但在14 nm 工艺节点仍然存在问题。

在450 mm 清洗技术中,超临界清洗技术产生并开始测试评估。由于超临界清洗技术具备超清洁环保、节省水资源、对器件微结构损伤小等特点,可推广应用到CMP 后清洗工艺中。但由于与CMP 设备集成方面的综合要求,300 mm CMP 后清洗技术继续延伸到450 mm 还是另辟蹊径产生革命性的技术,目前尚未定论。

因此在450 mm 工艺中,全新CMP 后清洗技术是必然选择要求,形成其独特的技术。

3 结 论

本文从整体上分析了集成电路发展历史,在此基础上结合耗材发展趋势,为450 mm 的CMP设备发展奠定了基础,展望并分析了450 mm 晶圆CMP 的五项关键技术。

2013年,半导体大厂并未如分析家所预期的那样大幅减少资本支出,英特尔、台积电、三星三巨头不但继续扩增先进工艺的产能,而且都欲在20 nm 以下工艺与450 mm 晶圆技术方面超越对手,在今后几年内以上三家也必将持续投资,这就加速推动CMP 设备研究及产业化的要求。

[1] 陶然.450 mm 晶圆缓行.电子产品世界[J].2008.12:6.

[2] 李锦林. 450 mm:可望而不可及的下一代晶圆.电子产品世界[J]. 2006.3:133.

[3] 翁寿松. 关于450 mm 晶圆生产线的争论.电子产品世界[J]. 2008.5:28-31.

[4] D.Hatano.Making a Difference:Carrers in Semiconductor.Semiconductor industry Association,matec conference[C]. 1998.8

[5] 萧宏(著). 半导体制造技术导论[M].北京:电子工业出版社. 2013(1):7.

[6] Peter Vant Zant(著),韩郑生,赵树武(译). 芯片制造技术[M]. 北京:电子工业出版社. 2010(8):14.

[7] P.packen,et al.High performance 32nm Logic technology Featuring 2nd Generation High-k+Metal Gate Transistor.IEDM tech[J]. 2009:65.

猜你喜欢
抛光液修整尺寸
基于稳定pH值的硅衬底晶圆抛光液成分优化
磁流变抛光液制备过程中的气泡动力学模型
CIIE Shows Positive Energy of Chinese Economy
砂轮修整技术的发展
水基抛光液的分散性改善方法和应用研究综述
单点金刚石修整笔的现状分析及发展趋势
化学机械抛光(CMP)用抛光液中CeO2磨料专利申请趋势分析
3MZ1420A外圈沟磨床砂轮修整机构的改进设计
D90:全尺寸硬派SUV
日本5R型曲轴连杆颈磨床修整器的维修