基于VHDL的数字电路课程改革研究

2010-08-15 00:49张天瑜
湖北开放大学学报 2010年2期
关键词:数字电路集成电路院校

张天瑜

(无锡广播电视大学,江苏 无锡 214011)

基于VHDL的数字电路课程改革研究

张天瑜

(无锡广播电视大学,江苏 无锡 214011)

随着集成电路技术的飞速发展,在高职院校中需要对数字电路进行课程改革。VHDL作为一种主流的硬件描述语言,能够从多方面对数字电路进行建模和描述,可以提高设计的效率和电路的可靠性。针对目前数字电路中的课程现状和存在的问题,提出将VHDL融合于数字电路的课程改革中,这对高职院校的建设以及人才的培养都能起到积极的作用。

高职院校;VHDL;数字电路;课程改革

随着电子材料学科和集成电路技术的发展,由分立元件构成的电路逐渐被集成电路所取代。近年来随着超大规模集成电路的广泛应用,集成电路的设计变得越来越复杂。中国的集成电路产业起步较晚,能够从事集成电路设计的人员比较匮乏。目前,国家已经将集成电路产业作为信息产业的重中之重,同时国外集成电路产业向国内转移的趋势也日渐明显,面对这种形势,集成电路设计人才的培养就显得十分紧迫。另一方面,随着EDA技术的发展与成熟,高职学生经过适当的学习和培训完全可以进入集成电路设计的行业。数字电路是高职院校电子信息专业中的一门重要专业基础课,它是集成电路设计的基础。近年来,随着大规模和超大规模可编程逻辑电路的涌现,数字电路原有的课程体系与教学模式已经不大适应现在的高职教学。因此需要对数字电路进行课程改革。

一、数字电路课程的概况

1.数字电路课程的现状

数字电路建立在30多年前小规模集成电路的基础上,目前已经成为高职院校电子信息专业中的一门重要专业基础课。该课程在介绍数字电路基本知识的基础上,重点讲述了门电路、组合逻辑电路、触发器、时序逻辑电路,其中所涉及到的集成电路主要以编码器、译码器、寄存器、计数器为主。设置这门课程的主要目的是让学生了解各种基本的逻辑电路,不仅能够熟练运用所学的知识对数字电路进行分析,而且能够根据实际的要求来完成数字电路的设计。然而传统的数字电路课程其侧重点在于阐述电子元器件内部的工作原理、公式的推导以及波形的分析[1-4]。由于目前高职院校的教师一般都是硕士毕业,而学生基本都是专科的层次,所以往往教师讲得滔滔不绝、头头是道,而学生却听得迷迷糊糊、昏昏欲睡。此外,传统的数字电路课程还忽视了如何利用那些已经学过的器件来构建一个完整的数字电路系统,所谓的实验也主要是以验证性的实验为主。在数字电路飞速发展的今天,伴随着大规模以及超大规模集成电路的广泛应用,这种传统的教学模式就显得知识陈旧,实用性差,不利于培养高职学生的动手能力和创新能力。

2.数字电路课程的存在问题

目前虽然有部分高职院校已经对数字电路进行了课程改革,但是由于受到传统教学模式的影响,主要体现在讲授知识面面俱到,贪多求全,因而改革的效果不明显。根据无锡市广播电视大学的课程安排,数字电路仅有72个学时,其中还包括18个实验课时。这样就会使得那些基础知识较弱,学习能力不强的高职学生感到数字电路这门课非常难学,出现了对课程的恐惧,产生了厌学情绪,从而影响了教学效果。此外,数字电路的教材在原有内容未做较大幅度调整的情况下,又新增加了一些EDA技术的简单介绍,但这部分知识通常位于教材的最后,只是作为传统内容的更新和补充,类似于一个“补丁”,而且一般都是作为选修的内容,不列入考核的范围,因此对教材的总体结构影响不大[5-8]。在集成电路技术高速发展的21世纪,以往被业界作为标准的小规模集成电路(Small Scale Integrated Circuit,SSI)和中规模集成电路(Medium Scale Integrated Circuit,MSI)的设计方法在大多数领域已经被逐步淘汰,取而代之的是全定制、半定制和可编程逻辑器件(Programmable Logic Device,PLD)以及超高速集成电路硬件描述语言。所以为了适应集成电路技术的发展,为了满足企业对专业技术型人才的需要,数字电路的课程改革势在必行。

二、数字电路课程改革的构思

1.数字电路课程的内容应符合高职学生的特点

以“基本、够用”为度,精选课程的重要内容,通过理论学习、上机编程、创新实验来培养学生分析问题、解决问题的能力。例如,在逻辑代数运算这一章节,教师在讲解时应该围绕三种最基本的“与”、“或”、“非”逻辑运算展开。在数制与编码这一章节,教师应该重点讲述二进制、八进制、十进制、十六进制的相关运算。在逻辑代数化简这一章节,教师在授课时应该压缩逻辑函数的化简部分,重点讲述卡诺图化简,一般涉及四个变量就可以了,因为在软件中已经包含了逻辑代数的化简程序。由于 VHDL能够很容易地进行各种逻辑代数运算、不同进制之间的相互转换以及逻辑代数的化简,因此在讲述完一个知识要点后配合VHDL编程的仿真实验,这能够让学生感受到EDA技术带来的方便,从而可以培养学生的学习兴趣。

2.数字电路课程要以项目教学为主线

在数字电路的课程中可以尝试项目教学。最初教师可以安排一些较为简单的课题,如智力竞赛抢答电路、交通灯控制电路、看门狗电路等。这些课题所涉及到的电路规模较小,知识点覆盖不多,设计容易,学生力所能及。根据课题的要求、利用已经学过的理论知识,学生能够自由地选择设计方案,完成整个课题的设计过程。等有了一定的知识积累过后,教师可以进一步地安排一些综合性的系统项目,如具有语音报时功能的数字钟、无线电编解码的电灯控制系统、数字密码锁等。这些项目的电路规模相对较大,知识点覆盖全面,设计比较复杂。通过教师的指导,师生之间的交流,从项目设计、原理图绘图、电路改进、程序仿真、程序调试、电路装配等多方面着手,这不仅可以培养高职学生的动手能力而且可以发开学生的创新能力。

3.在数字电路课程中引入讨论法

目前在数字电路的课程中,大部分的课题都是由教材规定的,由于书上已经有了现成的电路图,因此即使学生对于电路的工作原理不是很清楚,只要按照电路图进行接线,也能做出最终的结果,这对培养学生独立思考的能力显得非常不利。为了培养学生的思维能力,在教学的过程中引入讨论法,即在教师的指导下,学生以小组为单位,通常一个小组由5~6名同学组成,大家围绕教学过程中的某一内容提出自己的疑问,发表自己的看法。其优点在于通过讨论,学生能够加深对所学内容的理解,提高学习的兴趣。这种互相学习、互相启发、互相提高的教学模式对于培养学生之间的团队合作精神也能够起到积极的作用。

4.VHDL与数字电路课程的融合

VHDL是美国国防部在20世纪80年代初推出的,其英语全称是 Very High Speed Integrated Circuit Description Language,即超高速集成电路硬件描述语言。VHDL主要用于描述数字系统的结构、行为、功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格和语法结构十分类似于计算机高级语言。VHDL遵循EDA解决方案中自顶向下的设计原则,具有良好的接口兼容性。利用Altera公司开发的软件MAX+plusⅡ 10.23版本,可以完成VHDL的设计。近年来,由于VHDL在超大规模集成电路中的迅速普及,因此在教学内容的编排上,VHDL的介绍不应该放到课程的最后,应该穿插在平时的项目教学中,与每一章节的知识点同步进行。对于项目教学中所涉及到的一些课题,可以要求学生先利用常见的中规模集成电路设计一次,然后再利用VHDL在GW48系统主板上再来实现一次,通过两种方法的对比来感受 VHDL设计带来的方便。由于VHDL的设计过程包含程序仿真,可以较早发现设计中存在的问题,并且采用了大规模集成电路的设计,外部连线相对较少,故障概率较低,因此这对于提高学生的学习兴趣能够起到积极的作用。

5.培养学生的自学能力

在数字电路课程中,新增加的VHDL内容会带来教学课时的紧张。为此,培养学生的自学能力,增加学生的自学时间就显得尤为重要。就数字电路而言,不少高职院校也要求学生进行自学,但在内容的选择上是次要的、简单的;在数量上是少量的,零散的。通常自学部分不参加考核,这种自学方式不能调动学生学习的积极性,当然也就不能满足课程改革后的教学需要。在数字电路课程改革后,需要学生对大量的知识进行系统地自学。例如将MAX+plus Ⅱ 10.23软件的学习过程完全交给学生自己来完成,学完之后是否已经掌握,能不能进行编程和调试,还要进行必要的考核。因此,这不仅要求学生具备较强的自学能力,而且还要求教师具备严格的考核措施。因为如果课程改革后不能充分调动学生的自学能力,将会导致整个课程改革的失败。以开发具有语音报时功能的数字钟为例,如果学生没有按要求完成规定的自学内容,那么该项目所涉及到的内容试将无法正常进行。因此,如何调动学生的学习积极性,使自学成为一种习惯,也将成为课程改革中的一个重要环节。

三、结束语

针对目前数字电路中的课程现状和存在的问题,提出将VHDL融合于数字电路的课程改革中,这可以更好地发挥数字电路这门课的作用,能够让学生紧跟集成电路技术的发展,并且对于完善高职院校的课程建设和人才培养模式都能起到积极的作用。面对集成电路技术日新月异的发展,数字电路的课程改革依然任重道远,它还需要在具体的教学过程中根据广大师生的反映来进行不断地调整和完善。

[1] 黄获, 鄢立. 融入EDA技术,深入数字电路课程改革 [J]. 中国现代教育装备, 2008,(2).

[2] 王露. 《数字电路》课程改革的方案 [J]. 常州信息职业技术学院学报, 2005,(1).

[3] 汪彩霞. 高职数字电路课程的改革探讨 [J]. 科技广场, 2007,(4).

[4] 康雪梅. 《数字电路》课程改革初探 [J]. 电子技术, 2009,(5).

[5] 李祥. 数字电路课程改革方案与实践 [J]. 电脑与电信, 2008,(1).

[6] 马达灵,张云云. 《数字电路》课程教学改革之我见 [J]. 集宁师专学报, 2008,(4).

[7] 卢永芳. 高职院校《数字电路》课程教学模式探索 [J]. 清远职业技术学院学报, 2009,(3).

[8] 曹维, 徐东风. “数字电路与逻辑设计”实验教学改革探索与实践[J]. 计算机教育, 2009,(15).

Research on Curriculum Reform of Digital Circuit Based on VHDL

ZHANG Tian-yu

With the rapid development of integrated circuit technology, digital circuit needs curriculum reform in the higher vocational college. VHDL is regarded as a mainstream hardware description language, which can model and describe digital circuit from many aspects and can improve design efficiency and circuit reliability.According to the curriculum current status and the existing problems in digital circuit at present, it is proposed that VHDL is fused to the curriculum reform of digital circuit, which can play an active role in the construction of the higher vocational college and the cultivation of talents.

higher vocational college; VHDL; digital circuit; curriculum reform

G71

A

1008-7427(2010)02-0025-02

2009-11-02

作者系无锡市广播电视大学讲师。

猜你喜欢
数字电路集成电路院校
首个原子级量子集成电路诞生
2020年部分在晋提前批招生院校录取统计表
2019年—2020年在晋招生部分第二批本科C类院校录取统计表
基于数字电路的定时器的设计
2019年成考院校招生简章审核对照表
一种巨胎成型机用过、欠压保护电路
案例教学在数字电路教学改革中的应用研究
人工智能与集成电路的关系探讨
数字电路实验的设计分析
数字电路功耗的分析及优化