高质量单层二硫化钼薄膜的研究进展∗

2018-07-10 09:32魏争1王琴琴1郭玉拓1李佳蔚1时东霞1张广宇1
物理学报 2018年12期
关键词:衬底单层异质

魏争1)2)3) 王琴琴1)2)3) 郭玉拓1)2)3) 李佳蔚1)2)3)时东霞1)2)3)† 张广宇1)2)3)4)‡

1)(中国科学院物理研究所,北京凝聚态物理国家研究中心,中国科学院纳米物理与器件重点实验室,北京 100190)

2)(中国科学院大学物理科学学院,北京 100049)

3)(纳米材料与器件物理北京市重点实验室,北京 100190)

4)(量子物质科学协同创新中心,北京 100190)

(2018年4月18日收到;2018年5月12日收到修改稿)

1 引 言

自2004年Geim和Novoselov[1]成功地剥离出石墨烯(graphene)以来,二维材料成为人们的研究热点.相对于传统的三维材料,二维材料具有单原子层量级的厚度,可以作为模型系统来研究低维受限体系中的基础科学问题,也是信息、能源、生物医学等应用领域的重要研究对象.

作为二维材料家族的重要成员,过渡金属硫属化合物具有半导体特性,在物理、化学等方面表现出优异的特性,因此成为构筑下一代更轻、更薄、更快、更灵敏的电子学与光电子学器件的理想材料.其中,二硫化钼(molybdenum disul fi de,MoS2)是最典型的过渡金属硫属化合物,具有两层硫原子夹一层钼原子构成的三明治结构,MoS2热稳定性与化学稳定性好,机械强度高,具有很好的柔韧性、透明度以及较高的载流子迁移率.多层MoS2为间接带隙,而单层MoS2为直接带隙,其带隙(光学带隙)约为1.8 eV[2],具有优异的光电特性和高电子器件开关比.例如,Kis研究组[2]利用剥离的单层MoS2制作了顶栅场效应晶体管( fi eld-e ff ect transistors,FETs),研究表明,二氧化铪(hafnium oxide,HfO2)顶栅介电层能够有效地提高器件的迁移率,电流开关比超过108;Hone研究组[3]研究了放置在氮化硼(boron nitride,BN)衬底上并利用graphene作为接触电极的单层MoS2,结果表明,在液氦温度下其霍尔迁移率可高达1000 cm2·V−1·s−1,并且观察到量子振荡现象.在现代半导体器件不断小型化以及柔性化的主流趋势下,MoS2薄膜在FET[2]、超灵敏光电探测器[4]、柔性电子器件[5]以及高性能集成电路[6]等领域都有着广阔的应用前景.

为了实现MoS2薄膜在大规模、集成化半导体电子学以及光电器件领域的应用,高质量、晶圆级大面积单层MoS2薄膜的制备尤为关键.近几年来,很多人致力于MoS2薄膜的制备并发展了多种制备方法,常见的方法包括原子层沉积[7]、分子束外延[8]、脉冲激光沉积[9]、磁控溅射[10]以及化学气相沉积(chemical vapor deposition,CVD)[11]等.其中,CVD是一种简单、快捷、低成本的生长二维材料的方法,更适合推广到大规模的实际应用中.2012年,Li等[12]在催化剂的作用下,利用硫(sulfer,S)粉还原三氧化钼(molybdenum trioxide,MoO3),得到星状的MoS2微晶;2015年,Park等[11]利用金属有机物化学气相沉积(metalorganic chemical vapor deposition,MOCVD)技术,在4英寸 (1 in=0.0254 m)二氧化硅(silicon dioxide,SiO2)衬底上实现了多晶单层MoS2连续膜的生长.然而,迄今为止,得到的MoS2薄膜是晶向不一致的多晶薄膜,存在大量的晶界.而晶界引发的散射很大程度上降低了载流子迁移率,同时晶界处存在缺陷与局域的应力,会降低光电器件的转换效率.目前还没有可以制备大面积单层MoS2单晶薄膜的方法.因此,在绝缘衬底上制备出晶向高度一致的单层MoS2薄膜对于半导体器件性能优化以及实现器件的大规模应用十分关键.

构筑高性能的电子学与光电子学器件不仅要解决薄膜材料制备的问题,还需要考虑薄膜材料的无损转移、图案化加工等一系列问题.通常情况下,将生长得到的薄膜样品制备成器件需要经过湿法腐蚀转移以及传统的微加工等步骤,这些过程不可避免地引入了污染物,而样品表面与界面残留的污染物会对样品进行掺杂并对载流子产生额外的散射,使得器件性能下降.因此,在二维材料器件加工过程中,如何最大程度地保留材料原本的高质量以及降低污染物对材料本征性质的影响等问题亟待解决.目前,已有报道可以在真空环境中实现晶圆尺寸二维半导体材料的干法转移,并且能够将材料按照需要的顺序进行堆叠[13],这种干法转移技术得到的样品洁净、无损,保持了二维材料本征的性质.然而,该转移技术仅适用于与衬底结合力较弱的二维材料样品,对于具有较强结合力的样品,该技术无法将其完整地剥离下来.此外,MoS2与电极之间的接触电阻对于电子学器件性能的影响非常大,在MoS2与电极的界面间形成欧姆接触能够有效地降低接触电阻,对于器件性能的提高至关重要.Das等[14]研究了不同种类的金属做电极对器件性能的影响,结果表明选用功函数更加匹配的金属钪制作电极可以降低接触电阻;Jiao等[15]通过生长的阶梯状少层MoS2薄膜优化器件的几何结构,使少层MoS2的各层均与电极接触从而获得性能更加优越的器件;Chhowalla等[16]利用正丁基锂处理接触区域的MoS2从而产生局域的金属性的1T相变,在零栅压下接触电阻能够降低至200—300 Ω·µm.进一步构筑高性能的电子学与光电子学器件,除上述的转移与加工方法以及为了降低接触电阻所采取的措施之外,仍然需要发展更加洁净、可控、简单、易操作的方法.

为了解决高质量材料制备与高性能器件加工的问题,我们课题组在已有工作的基础上,对单层MoS2薄膜的生长、转移、加工方法以及高性能的功能化MoS2薄膜器件进行了系统而深入的研究.通过不断优化CVD法的生长条件,不仅可以生长多晶单层MoS2薄膜,而且实现了晶圆尺寸高定向单层MoS2薄膜的外延生长[17−20].为了减少转移与加工过程中对样品的污染,我们发展了水辅助转移方法[20]以及通过剥离对样品进行图案化加工的洁净技术[21],有效地避免了传统的微加工工艺中光刻胶等有机污染物残留的问题.同时,我们采用氩(argon,Ar)等离子体对单层MoS2处理,使其发生可控结构转变,制备了MoS2纳米卷结构[22],通过调节Ar等离子体的处理条件,实现了MoS2由2H半导体相到1T金属相的可控局域相转变[23],为减小MoS2器件的接触电阻提供了新的思路.MoS2薄膜与其他二维材料形成的异质结,展示出新奇的电学和光电特性[24−27].另外,加工了超短沟道单层MoS2薄膜FETs,该FETs是真正的全二维材料三端器件,证明了单层MoS2对短沟道效应的有效抑制,为MoS2在亚5 nm器件的应用提供了依据[28].进而,利用生长得到的高质量单层MoS2薄膜,巧妙地结合上述器件洁净加工方法,我们成功地制备出了高性能的集成柔性薄膜晶体管(thin fi lm transistors,TFTs)[29]与非接触型湿度传感器[30].这不仅证明所生长的MoS2薄膜具有非常高的质量,而且为MoS2薄膜功能化器件的加工提供了技术基础和应用支持.

2 单层MoS2的外延生长

2.1 单层多晶MoS2薄膜的生长

大面积、高质量MoS2薄膜的可控制备对实现MoS2的产业化应用具有重要意义[2,4,11,31−33].为了系统研究MoS2薄膜的可控制备,首先在SiO2衬底上采用CVD方法进行MoS2薄膜的生长[17].

我们利用自行搭建的三温区CVD系统,通过控制源的蒸发速率,从而控制MoS2的形核、长大、融合、成膜的过程,最终在SiO2衬底上生长出厘米级尺寸的单层MoS2薄膜.图1(a)是生长MoS2薄膜的三温区CVD系统示意图,在这个系统中,MoO3和S粉分别放置于两个独立的口径为1 cm的石英管前端.生长过程中S蒸气和MoO3蒸气分别被载气输运到第三温区进行反应,避免MoS2沉积在MoO3表面而阻止继续生长.如图1(b)所示,除了镊子刮痕处露出浅紫色的SiO2衬底,其余的衬底部分均被连续且均匀的MoS2薄膜所覆盖.图1(c)—(e)是MoS2生长过程中的形核、长大以及连接成膜等阶段的表面形貌原子力显微镜(atomic force microscope,AFM)图像,生长的MoS2薄膜厚度约为0.8 nm,与单层MoS2的厚度相符合.

图2(a)为薄膜样品的X射线光电子能谱(X-ray photoelectron spectroscopy,XPS).从XPS图谱中可以看出,生长的薄膜样品中有Mo4+和S2−的信号,Mo的3d轨道峰位分别在230 eV和233.2 eV,分别对应于3d5/2和3d3/2轨道,S的2p轨道峰位分别在162.7 eV和163.8 eV,分别对应于2p3/2和2p1/2轨道[34]. 同时,可以得到钼(molybdenum,Mo)和S元素的原子数百分比分别为6.15%和12.17%,说明Mo和S的原子数之比近似为1:2,进一步证明MoS2的存在.拉曼(Raman)光谱是表征MoS2薄膜厚度和质量的有效手段.图2(b)中展示了生长的样品和机械剥离的单层MoS2的Raman光谱,可以看出生长的样品与机械剥离的单层MoS2的Raman峰曲线几乎重合,表明生长的样品质量很高.同时,从图2(c)的光致发光(photoluminescence,PL)光谱中可以看到,生长的样品与机械剥离的单层MoS2样品相比PL峰的半高宽更窄,进一步表明生长的样品具有很高的质量.

图1 MoS2薄膜的CVD生长装置与样品在不同阶段的形貌图[17] (a)三温区CVD系统中S粉、MoO3和SiO2/Si的相对位置;(b)空白的SiO2基片和长满MoS2的基片的光学图像对比(左),放大的MoS2连续薄膜的光学图像(右);(c)—(e)MoS2生长过程中不同阶段的AFM图像Fig.1.Synthesis setup and morphologies for di ff erent synthesis steps[17]:(a)The corresponding locations of sulfur,MoO3,and SiO2/Si are indicated in a three-temperature-zone CVD system;(b)optical photo image contrast between the bare SiO2/Si substrate and one with CVD grown MoS2on it(left);magni fi ed optical image of asgrown continuous MoS2 fi lm is shown(right);(c)–(e)typical growth steps are indicated by AFM images.

图2 单层MoS2薄膜的光学表征[17] (a)XPS;生长的薄膜样品及机械剥离的单层MoS2的(b)Raman光谱及(c)归一化的PL光谱对比Fig.2.Optical characterizations of a continuous monolayer MoS2atomic layer[17]:(a)XPS demonstrating binding energy for Mo and S;(b),(c)typical Raman and normalized PL spectrum comparisons between our as-grown monolayer MoS2thin fi lm and an exfoliated one.

2.2 氧气辅助生长单层MoS2单晶

在非晶SiO2衬底上,我们实现了厘米级连续的高质量多晶MoS2膜的生长,然而晶粒尺寸却只有600 nm—1µm,薄膜存在大量的晶界.晶界会增加电荷散射,不利于薄膜在光电器件中的应用[17,35].在传统的CVD方法的基础上,我们发展出了一种利用氧气辅助生长MoS2的方法[18].生长过程中少量氧气的存在降低了MoS2的成核密度,使得MoS2更倾向于生长成为单晶.

图3(a)—(f)给出了通入不同流量的氧气,生长时间为30 min的蓝宝石衬底上MoS2的光学显微镜图像. 在没有氧气的条件下,大量的MoS2颗粒生长在MoS2薄膜表面和蓝宝石衬底上,趋向于岛状生长模式;当在载气中加入1 sccm(1 sccm=1 mL/min)的氧气,可以生长出均匀连续的大面积单层MoS2;当氧气流量增加到2 sccm时,生长出了三角形边长达350µm的MoS2单晶,此时,趋向于典型的二维层状生长模式;进一步增加载气中氧气的量到5 sccm,MoS2单晶尺寸从350µm逐渐减小到50µm,MoS2的成核密度也逐渐降低.在生长过程中,氧气不仅对MoS2具有刻蚀效果,还能有效避免多层MoS2颗粒的沉积.如图3(g)所示,氧气辅助生长的MoS2的两个Raman特征峰之间的频率差(∆)约为20 cm−1,与单层MoS2相符合[36].而不加氧气生长的MoS2的频率差大约为25 cm−1.在没有氧气的情况下,生长倾向于三维的岛状生长,而引入少量氧气后可以生长出高质量的单层MoS2单晶样品.图3(h)中有氧气情况下的PL峰强度高且与单层MoS2的峰位相符,而无氧情况下PL谱中仅仅出现一个比较弱的PL峰,进一步表明了无氧情况下的岛状生长模式.

图4(a)显示了MoS2生长和刻蚀速率与生长时间的对应关系.由于氧气的存在,整个MoS2生长过程中都伴随着氧气的刻蚀作用.在生长初期(<15 min),生长速率几乎为一个恒定的数值,而后MoS2晶粒生长逐渐减慢,而刻蚀速率几乎仅仅依赖于氧气的流量.在图4(b)的蓝色区域中,生长速率大于刻蚀速率,这时MoS2生长占主导.值得注意的是,随着生长时间的增长,在到达红色区域后,MoS2的生长速率开始下降,此时氧气的刻蚀作用占主导,从而使得MoS2晶粒开始减小.所以当时间延长到60 min,生长停止,MoS2晶粒被完全刻蚀.通过控制氧气的流量和生长时间,我们既可以获得高质量、大面积连续的MoS2薄膜,也可以获得尺寸可调的单晶MoS2.

图3 单层MoS2薄膜的生长和不同氧气流量下的大晶畴[18](a)—(f)0—5 sccm氧气流量时在蓝宝石衬底上生长30 min的MoS2的光学显微镜图像,图(c)中三角形晶畴的边长为350µm,(a)—(c)中的插图为相应的流量(0—2 sccm)下的AFM图像,图(c)给出沿着虚线方向的高度曲线,单个晶畴的厚度约为0.65 nm,与单层的厚度相同;有氧气辅助(红色)和无氧气辅助(黑色)两种情况下的(g)Raman光谱和(h)PL光谱Fig.3.Growth of monolayer MoS2 fi lms and large domains at variable oxygen fl ow rates[18].(a)–(f)Optical images of MoS2grown on sapphire with di ff erent O2 fl ow rate.The insets in(a)–(c)are AFM height images.A height pro fi le was extracted along the dashed line shown in panel(c).The single domain thickness is∼0.65 nm,equal to a monolayer thickness.(g),(h)Typical Raman(g)and photoluminescence(h)spectra of as-grown MoS2with and without O2carrier gas,respectively.

图4 在不同生长阶段氧气对晶畴尺寸的影响[18] (a)2 sccm氧气流量下晶粒尺寸随时间的变化关系,蓝线与红线为拟合曲线;(b)生长过程中的生长和刻蚀速率与时间的依赖关系,蓝色的I区域和红色的II区域代表生长过程分别由生长主导和刻蚀主导Fig.4.E ff ect of oxygen on the domain size at various growth durations[18]:(a)Evolution of the size of single-crystal MoS2domains as a function of the growth duration;(b)dependence of pure growth rate and etching rate of MoS2 domains on the growth duration;the blue I and red II regions represent dominant growth and etching during the growth process,respectively.

2.3 六方氮化硼(hexagonal boron nitride,h-BN)上外延生长MoS2

近几年来,在单晶衬底上外延生长单层MoS2激起了人们广泛的兴趣.在众多单晶衬底中,h-BN作为层状材料,表面具有原子级平整度且没有悬键,不会对样品造成电荷掺杂,可以提高样品的电学性能,是生长MoS2的优良衬底[37−39].基于之前在h-BN上生长graphene的经验,我们选择h-BN为衬底,并在上面成功外延生长了高定向的MoS2.在h-BN台面上,单层MoS2只有两个取向,与衬底晶格转角都为0◦,两个取向之间夹角为60◦[19].

图5(a)是在h-BN上生长的MoS2的AFM图像,样品形状为三角形,厚度为0.646 nm,对应于单层MoS2的厚度.不同于在SiO2、石英、硅(silicon,Si)、云母、金(gold,Au)等衬底上生长的单层MoS2,在h-BN台面上,单层MoS2只有两个取向,相对转角为60◦.图5(b)是两种取向的单层MoS2晶粒个数的统计,其中三角形朝上的个数为158个,三角形朝下的个数为162个,两者比例约为1:1.在h-BN台阶边沿,我们发现单层MoS2晶粒可以跨过台阶,但是转角很随机,如图5(c)所示.图5(d)显示样品的选区电子衍射(selected area electron di ff raction,SAED)图像,图中绿色圆圈内的衍射点来自于h-BN,红色圆圈内的衍射点来自于单层MoS2,橘黄色圆圈内的衍射点来自于单层MoS2与h-BN的超晶格.可以看出,这三套衍射点之间没有转角,表明外延的单层MoS2样品与h-BN衬底之间没有转角.图5(e)显示摩尔条纹的高分辨原子结构图,同样说明样品与衬底之间没有转角,形成的摩尔条纹周期为1 nm.

图5 (a)平滑的h-BN台面上生长的单层MoS2晶畴的AFM图,单层MoS2高度为0.646 nm,h-BN台面上只有0◦和60◦晶畴,比例尺为1µm;(b)图(a)中单层MoS2两种取向的晶粒个数统计;(c)h-BN台阶边沿其他角度相对转角的单层MoS2的AFM图像;(d)单层MoS2/h-BN的SAED图像;(e)晶格失配引起的0◦转角摩尔条纹的高角环形暗场像扫描透射电子显微镜图像[19]Fig.5.(a)AFM image of monolayer MoS2domains grown on smooth h-BN surface;(b)the count histogram of the sample showed in panel(a);(c)AFM image of monolayer MoS2with other relative rotation angles at the step;(d)SAED pattern of MoS2/h-BN;(e)high-angle annular dark- fi eld scanning transmission electron microscope image of the Moiré pattern generated by lattice mismatch[19].

2.4 晶圆级高定向单层MoS2薄膜的外延生长

MoS2薄膜在电学、光学等方面表现出优异的性质,因而在电子学与光电子学等众多领域具有应用价值.目前实现其大规模应用的重大挑战在于晶圆尺寸、可控、可重复地制备高质量的单层MoS2样品.我们在前期MoS2的生长基础上,通过改善CVD系统和优化生长条件,在2英寸单晶蓝宝石衬底上生长出了晶圆级高定向的单层MoS2薄膜[20].转移到SiO2衬底上的单层MoS2连续薄膜平均迁移率为40 cm2·V−1·s−1,开关比为106. 这种生长高质量的单层MoS2连续薄膜的方法可控性好,成本低廉,为MoS2薄膜走向大规模应用奠定了基础.

图6(a)展示了改善后的CVD系统,在这个系统中载气分为独立的三路,分别通给S粉、MoO3以及腔体.通给S粉的载气为Ar;通给MoO3的为Ar和保护气体O2;通给腔体的Ar用来调节腔体气压和破真空.图6(b)展示了生长出的晶圆尺寸单层MoS2.图6(c)的AFM图像显示MoS2连续且表面洁净.将新鲜样品放在相对湿度为55%的空气中,1 h之后晶界部分出现吸附,如图4(d)所示.可以看出样品上所有晶界都是闭合的,没有交叉点,这与样品只有两个取向符合,而且相同取向的晶粒合并形成单晶晶畴,不同取向的晶粒合并形成60◦晶界.图6(e)是MoS2的Raman光谱,E2g和 A1g的峰位分别在386 cm−1和406 cm−1,两峰间距为20 cm−1,对应于单层MoS2样品[36].图6(f)显示了MoS2的PL光谱和红外吸收光谱,PL光谱中A激子峰的峰位在1.87 eV,半峰宽为0.06 eV,与PL光谱相比,吸收谱线中有明显的B激子峰,且A,B激子的峰位都向高能量方向移动.这是由于单层MoS2在蓝宝石衬底上产生晶格失配而受到了拉伸应力,转移下来之后应力释放[40].从图6(g)的PL和Raman峰位的统计中可以看到,MoS2薄膜均匀性非常好.

图6 蓝宝石晶圆上单层MoS2的生长[20] (a)2英寸CVD系统;(b)2英寸MoS2/蓝宝石和蓝宝石衬底的照片;(c)蓝宝石衬底上单层MoS2的高分辨率AFM图像;(d)潮湿空气(相对湿度55%)中蓝宝石衬底上单层MoS2的高分辨率AFM图像;(e)生长的单层MoS2的Raman图像;(f)单层MoS2的PL(上)和吸收(下)谱图;(g)不同位点单层MoS2的Raman谱线两峰间距(右)和PL谱线的峰位(左)的统计Fig.6.Growth of monolayer MoS2/sapphire wafers[20]:(a)2-in CVD setup;(b)photos of 2-in MoS2/sapphire and sapphire substrate;(c)high-resolution AFM image of monolayer MoS2on sapphire;(d)high-resolution AFM image of monolayer MoS2exposed in humid air(humidity 55%);(e)Raman spectra of as-grown monolayer MoS2;(f)photoluminescence and absorption spectra of monolayer MoS2;(g)the statistical∆value and the PL peak energy of monolayer MoS2as a function of point position.

为了表征蓝宝石衬底上MoS2的晶格取向,我们进行了低能电子衍射(low energy electron di ff raction,LEED)分析,入射电子能量为147 eV.如图7(a)所示,可以看到一套六边形的衍射斑点,并且将样品沿着水平与竖直方向移动,衍射斑点没有明显的变化,MoS2的zigzag与armchair方向分别与蓝宝石的 [¯1¯120]和 [¯1100]晶向平行. 这说明MoS2与蓝宝石衬底晶格取向匹配,二者之间的相对转角只有0◦和60◦.从图7(b)的角分辨光电子能谱(angle resolved photoemission spectroscopy,ARPES)可以得出,样品具有2.11 eV的直接带隙.高分辨率透射电子显微镜(high resolution transmission electron microscopy,HRTEM)图像如图7(c)所示,可以清楚地看到,样品由0◦与60◦两种不同角度的晶畴以及60◦的晶界组成.图7(d)的SAED图案在两种不同角度的晶畴区域均显示出一套六边形衍射斑点.对于样品上随机选取的100个点的统计结果显示,在误差范围内,生长的MoS2与衬底晶格取向完全匹配.由上述分析可以得出,相邻的相同取向的晶粒可以拼接成同一晶畴,而具有60◦相对转角的晶粒在拼接处形成60◦的晶界,因此,蓝宝石衬底上外延生长的MoS2薄膜由两个不同取向的晶畴和60◦的晶界组成.MoS2薄膜的高定向生长来源于高的沉积温度,即930◦C左右,在如此高的温度下,晶畴容易发生旋转与滑移,并最终保持在最稳定的状态.而在蓝宝石衬底上,MoS2与衬底保持0◦与60◦转角恰好是最稳定的状态.

图7 生长的MoS2与蓝宝石衬底之间的晶格匹配[20] (a)蓝宝石衬底上单层MoS2的LEED图案和蓝宝石晶圆的晶格取向;(b)生长的单层MoS2的ARPES图谱;(c)单层MoS2中晶界的HETEM图像,亮点对应于Mo原子,暗点对应于S原子,虚线处为晶界,两个黄色的三角形表示两个晶畴之间的夹角为60◦;(d)SAED图案,光阑是1µm;(e)20µm ×20µm范围内100个不同位置点的转角分布;(f)晶畴I和晶畴II缝合成的薄膜的示意图Fig.7.Lattice alignment between as-grown MoS2and sapphire substrates[20]:(a)LEED pattern of monolayer MoS2on sapphire;the orientation of MoS2lattice aligned with sapphire;(b)ARPES spectra of the as-grown monolayer MoS2;(c)HRTEM image of the stitched domain boundary in monolayer MoS2;(d)SAED pattern;(e)orientation distribution of 100 di ff erent sample points homogeneously distributed in 20µm ×20µm square;(f)schematic illustration of the fi lm stitched by I-and II-domains.

图8 转移到SiO2衬底上的单层MoS2的电学表征[20] (a)典型的FET器件的转移特性曲线与输出特性曲线;(b)随机的200个MoS2FET器件的开态方块电导σ?(绿色)和开关比(深红色)的统计;(c)扣除接触电阻的24个不同沟道长度的器件迁移率的统计Fig.8.Electrical properties of monolayer MoS2transferred on SiO2substrates[20]:(a)Electrical transfer and output curves of a typical FET;(b)the on-state sheet conductance σ?(green)and on/o ffratio(crimson)of 200 random MoS2FETs;(c) fi eld-e ff ect mobility calculated from 24 devices with di ff erent channel lengths.

我们将MoS2薄膜转移到300 nm SiO2/Si衬底上并进行电学性质测量.如图8(a)所示,从输出特性曲线可以看出,器件具有良好的接触.从转移特性曲线来看,样品显示出典型的n型半导体特性,开启电压在−40 V,迁移率为25 cm2·V−1·s−1. 同时,我们随机挑选了200个器件,测试电学性能,计算开关比及栅压为70 V的方块电导,所得结果如图8(b)所示,可以看出器件均匀性很好,开关比为106,方块电导为12µS,该薄膜质量优于多晶薄膜.另外,我们采用传输线法测量了样品的沟道迁移率,扣除接触电阻之后,计算得到的这24个器件平均迁移率约为40 cm2·V−1·s−1.

3 单层MoS2薄膜的转移、加工与相调控

3.1 晶圆级单层MoS2薄膜的无损水辅助法转移

构筑高性能的器件不仅要解决材料制备的问题,还需要考虑材料的转移、加工以及接触电阻降低等一系列问题.因此,将二维材料加工成器件的过程中,如何最大程度地保留材料原本的高质量、降低污染物对材料本征性质的影响以及进一步降低接触电阻等问题亟待解决.

利用MoS2和蓝宝石衬底分别具有疏水性和亲水性的特点,在水渗入的辅助下可以较容易地将MoS2薄膜和蓝宝石衬底分离[41−43],但是这种方法很难应用于晶圆尺寸MoS2薄膜的转移.为实现晶圆尺寸的衬底上MoS2的无损转移,需要有效地控制转移过程中水的渗入过程.我们利用直线导轨和步进电机相结合,可以对生长在蓝宝石衬底上的MoS2和蓝宝石衬底的分离速度进行调控,同时减少转移过程中的机械振动[20].

转移过程如图9(a)所示,主要分为以下3步:1)将聚二甲基硅氧烷(polydimethylsiloxane,PDMS)膜贴在MoS2表面;2)将PDMS/MoS2/蓝宝石浸入在去离子水中并缓慢地将PDMS/MoS2从蓝宝石表面分离;3)将MoS2压在其他衬底表面后缓慢地将PDMS撕下.需要注意的是,为了减少空气中杂质的污染,过程1)和3)是在手套箱中进行的.图9(b)和图9(c)是将2英寸的MoS2转移至柔性聚对苯二甲酸乙二醇酯(polyethylene terephthalate,PET)衬底和带有300 nm氧化层的硅片上的照片.光学显微镜图像和AFM图像表明MoS2薄膜被完整地转移下来,并且非常均匀、干净.这种转移方法不仅适用于连续成膜的样品,还适用于图案化的MoS2薄膜的转移,如图9(d)所示.

值得提到的是,这种无损水辅助转移方法,不仅能够把得到的晶圆级MoS2薄膜无损地从蓝宝石衬底上转移下来,并且与MoS2薄膜分离后的蓝宝石衬底可以循环使用,重复用于MoS2的生长.

3.2 MoS2薄膜的图案化加工

二维MoS2器件的性能强烈地依赖于MoS2本身的性质,而利用传统的刻蚀方法对MoS2薄膜进行加工不可避免地会污染样品.我们利用Au与MoS2之间存在较强结合力的特点,发展了一种通过剥离对MoS2薄膜进行图案化加工的技术[21].与传统的刻蚀方法相比,该加工技术操作简便,对样品污染和损伤极小,图案化处理之后的样品表面清洁、完整.虽然其加工精度为100 nm,但是对于未来二维材料加工方法的借鉴作用仍然是非常明显的.

图9 晶圆尺寸单层MoS2的转移[20] (a)转移过程示意图;(b)转移在PET上的单层MoS2;(c)堆叠转移在4英寸SiO2/Si衬底上的三个晶圆尺寸单层MoS2薄膜;(d)堆叠转移在SiO2/Si衬底上的图案化单层MoS2Fig.9.Wafer-scale transfer of monolayer MoS2[20]:(a)Schematic diagram of the transfer process;(b)monolayer MoS2transferred on PET;(c)three wafer-scale MoS2 fi lms transferred and stacked on a 4-in SiO2/Si wafer;(d)prepatterned monolayer MoS2transferred and stacked on SiO2/Si.

图10 器件制作过程示意图[21] (a)300 nm SiO2/Si上CVD生长的MoS2;(b)在MoS2表面旋涂PMMA;(c)对MoS2进行EBL;(d)在曝光完图形的样品上沉积20 nm的Au;(e)用胶带撕下要去除部分的Au和MoS2;(f)衬底上边界分明的MoS2样品;(g)覆盖Au膜的样品的光学显微镜图像;(h)剥离后的图案化的MoS2的光学显微镜图像;(i)宽度约为100 nm的MoS2条带的AFM图像Fig.10.Schematic depiction of the device fabrication process[21]:(a)CVD growth of MoS2on silicon substrate with 300 nm SiO2;(b)spin-coating PMMA on the surface of the MoS2;(c)pattern MoS2by EBL;(d)20 nm Au deposited on the patterned fi lm;(e)peel-o ffthe Au fi lm with unwanted MoS2by the tape;(f)well-de fi ned MoS2 pattern on the substrate;(g)optical image of MoS2pattern covered with Au fi lm;(h)optical image of the MoS2 pattern after peel-o ff;(i)AFM image of the MoS2pattern with width∼100 nm for every ribbon.

剥离方法如图10(a)—(f)所示:首先在单层MoS2表面旋涂60 nm厚的聚甲基丙烯酸甲酯(polymethyl methacrylate,PMMA);随后利用电子束曝光(electron beam lithography,EBL)系统曝出图案;再通过电子束蒸发的方式在曝出图案的样品表面蒸镀20 nm厚的Au;将热释放胶带粘在金属上而后撕下来,胶带会将Au连同下方的MoS2一起剥离下来,而处于PMMA保护下的MoS2则留在衬底上.

剥离后样品的Raman和PL光谱及AFM图像的表征结果如图11所示.从图11(a)可以看出,MoS2位于∼385 cm−1(E2g)和 ∼404 cm−1(A1g)的两个Raman特征峰在处理前后没有明显的变化,表明在处理后MoS2的性能能够很好地保持.图11(b)显示MoS2在1.9 eV处的PL峰没有劈裂、展宽或是淬灭,说明该方法处理的MoS2表面没有其他物质的残留,而经过反应离子刻蚀(reactive ion etching,RIE)处理的样品的PL峰淬灭严重并且产生了红移.

此外,我们将通过RIE和剥离的方法得到的MoS2条带分别加工成FET器件,电学测试表明,通过剥离方法制备的器件较RIE制备 的 器 件 迁 移 率 从 ∼4.6 cm2·V−1·s−1提 升 至∼21.3 cm2·V−1·s−1,开关比从∼ 1 × 106提升至∼1×107.

此剥离方法不仅可以对SiO2上的单层的MoS2进行图案化加工,对多层的MoS2以及对于蓝宝石上的MoS2,或者其他衬底上的二维材料如graphene等也同样有效.

图11 MoS2图案的光学性质[21](a),(b)图案化处理前后SiO2衬底上MoS2的Raman和PL光谱;(c)MoS2图案的光学显微镜图像,其中线条的宽度约为500 nm;(d)图(c)中“IoP”标志的放大图像;(e)图(d)的AFM图像;(f),(g)图(d)中所示区域上MoS2在SiO2衬底上的Raman和PL图像Fig.11.Optical properties of the MoS2pattern[21]:(a),(b)Raman shift and PL spectrum of MoS2on SiO2 substrate before and after patterning respectively;(c)optical image of the MoS2pattern with line width∼500 nm;(d)zoom-in image of the“IoP” logo from panel(c);(e)AFM image of panel(d);(f),(g)Raman shift and PL spectrum mapping of MoS2pattern shown in panel(d)on SiO2substrate respectively.

3.3 单层MoS2的结构转变——纳米卷

二维材料的纳米卷与形成这些纳米卷的二维材料相比,几何结构发生了很大改变,根据理论预测,这些纳米卷可能具有更加优异的电学性能[44−50].我们首次实现了单层MoS2形成纳米卷[22],这是一种通过Ar等离子体辅助的方式使得材料表面产生S空位,并由此产生应力形成纳米卷的方法.它具有清洁、无溶液参与、操作简单、产率高以及和标准的等离子体刻蚀技术相容的优点.而且该方法同样适用于其他二维过渡金属硫属化合物,为研究这类材料的一维管状结构提供了参考.

我们所采用的MoS2是在SiO2衬底上利用CVD方法生长的单层样品.从其生长过程中即将成膜阶段的AFM图像(图12(a))可以看出,晶粒尺寸在百纳米数量级.图12(b)为连续成膜的样品在压强为0.3 Torr(1 Torr=1.33322×102Pa)、温度为150◦C、等离子体功率为25 W、处理时间为20 min的条件下的AFM形貌图.在此条件下,样品的晶界处首先被刻蚀.增加等离子轰击时间至40 min,从图12(c)可以看到纳米卷形成.进一步的探索发现,形成纳米卷的最佳等离子功率为25 W,过高的功率会导致纳米卷长度明显减短,而过低的功率下处理时间又太长.此外,纳米卷的长度还依赖于样品晶粒的大小.除SiO2外,在蓝宝石、graphene以及BN上的MoS2也可以在Ar等离子体处理下形成纳米卷.

同未处理过的具有分离晶粒的样品相比,形成纳米卷的样品由于S空位增多,在256 cm−1的Raman峰产生蓝移而在336 cm−1的Raman峰则产生红移(图13(a)),PL峰也淬灭(图13(b)).

HRTEM图像显示纳米卷是中空的管状结构,层间距约0.4 nm,表明一部分S原子被移除(图14(a)).导电AMF对graphene上纳米卷的电学测量显示出非线性的I-V曲线(图14(b)),表明graphene和MoS2之间存在能量势垒.

图12 MoS2纳米卷的形成过程[22] (a)在SiO2上生长的MoS2样品;(b)晶界被分离;(c)沿分离的晶界形成MoS2纳米卷Fig.12.The forming process of MoS2nanoscroll[22]:(a)As-grown MoS2monolayer on SiO2;(b)grain boundaries are separated;(c)MoS2nanoscrolls formation along the separated boundaries.

图13 Raman和PL表征[22](a)连续成膜MoS2、晶界分离的MoS2及MoS2纳米卷的Raman光谱;(b)连续成膜MoS2、晶界分离的MoS2及MoS2纳米卷的PL光谱Fig.13.Raman and PL characteristics[22]:(a)Raman spectra of the as-grown MoS2,MoS2with grain boundary separation and MoS2nanoscroll;(b)PL spectra of the as-grown MoS2,MoS2with grain boundary separation and MoS2nanoscroll.

图14 MoS2的结构和光学性质[22] (a)MoS2纳米卷的TEM图像(插图为低倍TEM图,虚线所示区域被放大);(b)在石墨衬底上的graphene与MoS2之间的电流-电压曲线(插图为石墨上MoS2纳米卷的AFM图像)Fig.14.Structural and electrical properties of MoS2nanoscroll[22]:(a)TEM image of MoS2nanoscroll(the inset is the low magni fi cation TEM image and the marked area is zoomed-in);(b)current-voltage curves of the graphite substrate and MoS2nanoscroll on the graphite substrate;the inset is the AFM image.

Ar等离子体处理会显著减低MoS2中S与Mo的比例.XPS的半定量分析结果显示,连续成膜MoS2、晶界分离的MoS2及MoS2纳米卷的S和Mo的比例分别约为2.0,1.4和1.当Ar离子的动能大于MoS2的S和Mo之间的键能时,Ar离子的轰击会破坏MoS2上层S原子和Mo之间的化学键,在Ar形成的惰性气体环境中,具有悬挂键的Mo原子不能形成新的化学键从而产生了平面外的应力,在应力的作用下MoS2发生卷曲形成纳米卷.

3.4 单层MoS2的可控相转变

单层MoS2有两种典型的相,金属性的1T相和半导体性的2H相[51−54].理论上可以通过混合这两种相的方式对MoS2的性能进行调控.我们发现Ar等离子体轰击的方式可以激发2H相向1T相转变[23].这种方式下1T相和2H相的比值可以达到40%,并且处理方式具有清洁、可控和可拓展的优点.这种利用Ar等离子体的轰击使MoS2产生可控相变的方法将在MoS2的催化及MoS2光电器件的性能优化等方面发挥巨大的潜力.

图15(a)为Ar等离子体处理2H相MoS2的示意图.实验过程在室温下进行,Ar等离子体的功率为25 W,在此功率下Mo和S之间的化学键不会断裂,同时Ar的能量又可以使上层的S原子产生滑移.在被等离子体处理过的MoS2的Raman光谱图中出现的新峰,证实了1T和2H相同时存在.需要注意的是Raman光谱是通过532 nm的激光在归一化的条件下获得的.图15(b)为单层MoS2在不同处理时间下的Raman光谱.等离子体处理过的样品出现三个新的Raman峰:J1(167 cm−1),J2(227 cm−1)和 J3(334 cm−1),均为1T相的峰[55−57],这表明1T相的存在.图15(c)显示随着处理时间的增加,PL逐渐淬灭,说明金属性的1T相的比例逐渐增加[58].图15(d)是样品所对应的XPS,在229.2 eV和232.3 eV处的峰分别对应2H-MoS2的Mo4+3d5/2和Mo4+3d3/2成分,经历40 s的等离子体处理后,两个峰出现红移,这也是1T相存在的重要证据[23,59].

通过扫描隧道显微镜(scanning tunneling microscope,STM)可以直观地对新产生的1T相的原子结构进行表征.观测所用的MoS2为在高定向热解石墨上生长的MoS2.图16(a)是典型的单层MoS2的STM图像,摩尔条纹是由MoS2和高定向热解石墨的晶格失配导致.图16(a)中的插图为图像的快速傅里叶变换结果,显示出两套六角图案,外层图案对应MoS2上层的S晶格,内层的图案对应摩尔条纹.图16(b)和图16(c)是40 s等离子处理后的高分辨STM图像.白色的虚线标记出颜色较亮区域的边界.S空位由图16(c)中高亮的蓝色箭头标记出.获取STM图像的扫描电压和电流设定值分别为0.7 V,500 pA(a);1.5 V,50 pA(b);1.2 V,5 pA(c).图16(a)—(c)的比例尺均为1.5 nm;图16(d)和图16(e)是图16(a)和图16(b)中不同区域((a)图标记为1,2,3,4以及(b)图标记为5,6,7,8的三角所在的区域)在对数坐标下的dI/dV谱.图16(d)和图16(e)的STM扫描电压和电流设置值分别为1 V,50 pA和1.5V,50pA.由图16(d)和图16(e)可知,图16(b)和图16(c)中颜色较亮的区域和原始的MoS2具有相同的电子结构,均为2H相,而图16(b)和图16(c)中颜色较暗的区域表现出零带隙,为金属性的1T相,1T相的大小为几个纳米.此外在颜色较暗区域存在S空位,这些缺陷的存在可能是1T相可以稳定存在的原因.即使在大气环境下放置一个星期,这些1T相仍然稳定存在.

图15 1T相在等离子体处理下的形成过程[23,59](a)等离子体处理过程示意图;(b)单层MoS2和不同处理时间下MoS2的Raman光谱图,插图为1T相的新峰细节的放大;(c)不同等离子体处理时间下MoS2的PL光谱图,伴随处理时间的增加,PL逐渐淬灭;(d)XPS显示了等离子体处理前后的Mo的3d峰和S的2s峰;绿色曲线和红色曲线分别代表2H相和1T相对3d峰的贡献;下部的曲线为100%的2H相,上部的曲线可以由2H和1T拟合Fig.15.Formation of 1T phase MoS2via plasma treatment[23,59]:(a)Schematic representation of the plasmatreated process;(b)Raman spectra of ML-MoS2and plasma-treated MoS2as a function of treatment duration;the inset shows enlarged spectra of new characteristic Raman peaks for the 1T phase MoS2;(c)time-dependent PL spectra of plasma-treated monolayer MoS2;the PL is gradually quenched with the formation of 1T phase;(d)XPS spectra showing Mo 3d and S 2s core level peak regions for the pristine and plasma-treated MoS2;the fi tting green and red curves represent the contributions of 2H and 1T phases to the Mo 3d peaks;the lower curve is 100%2H phase whereas the top curve can be fi tted with both 1T and 2H phase components.

图16 单层MoS2局域相变的原子和电子结构[23] (a)—(c)在石墨上的单层MoS2在等离子体处理前(a)和40 s等离子处理后(b),(c)的高分辨STM图像;(d),(e)图(a),(b)中不同区域((a)图标记为1,2,3,4以及(b)图标记为5,6,7,8的三角所在的区域)在对数坐标下的dI/dV谱Fig.16.Atomic and electronic structure of local phase transition in monolayer MoS2[23]:(a)–(c)High-resolution STM images of monolayer MoS2on graphite before(a)and after(b),(c)40 s phase-transition treatment;(d),(e)dI/dV spectra in logarithmic scale recorded at di ff erent locations in panels(a)(triangles marked as 1,2,3,4)and(b)(triangles marked as 5,6,7,8)respectively.

由于产生相变的原因是Ar等离子体的轰击,因此该方法可以和EBL相结合.利用EBL曝出需要产生相变的区域,从而达到对样品进行图案化加工的目的.AFM的扫描结果显示,这种方法处理的样品表面干净并且物理形貌不被破坏.此外,1T相的MoS2具有金属性,通过Ar等离子体轰击引入1T相可以降低MoS2和金属电极的接触电阻,增加FET的开态电流同时提高器件的开关比和迁移率.

4 MoS2与其他二维材料的异质结构

4.1 二维材料异质结的干法转移堆叠

半导体异质结是由两种不同的半导体材料构成的结构,是半导体电子学和光电子学领域的基本结构之一.近几年来,基于二维材料构筑的范德瓦耳斯异质结受到了人们的广泛关注.这种异质结具有原子级平整的界面并克服了传统异质结生长所面临的晶格失配问题.

具有清洁、平整界面的异质结的制备是实现其性质测量与器件加工的基础.对于机械剥离的二维材料,我们发展了一种更加稳定的干法转移技术[24].该技术克服了传统的转移技术容易使二维材料产生形变的不足[24,60,61],使得通过干法转移制备二维材料异质结更加简便高效,而且具有将图案化处理后的二维材料进行转移的独特优势.此外,它还保留了干法转移过程中没有水和化学试剂参与,被转移材料的界面在转移过程中保持清洁的优势.

图17为具体的转移过程,首先将碳酸丙烯酯(propylene carbonate,PC)溶液(PC颗粒为溶质,苯甲醚为溶剂,质量比为10%)滴在需要转移的二维材料的衬底上,并使其完全覆盖(图17(a)).随后在100◦C的热板上焙烤5 min,PC溶液将固化为PC膜(图17(b)).常温下固化的PC膜有较强的黏合力,当其与二维材料之间的黏合力大于二维材料与衬底之间的黏合力时,可以在揭起PC膜的同时将二维材料黏合在揭起的PC膜上(图17(c)).将附带有二维材料的PC膜贴在需要转移的目标衬底上(图17(d)).与常温下具有较强的黏合力不同,将PC膜加热至80◦C以上可以降低其黏性,因此降低其与二维材料之间的黏合力,使其小于二维材料和目标衬底之间的黏合力,此时将PC膜揭下,PC膜与目标衬底之间的二维材料将与PC分离并留在目标衬底上(图17(e)).这种直接通过机械分离的方法将PC与二维材料分离,避免了经过丙酮等有机溶剂的去胶过程.对于残留在二维材料上的少量PC可以通过热退火的方式有效去除.

图17 (a)—(f)转移技术的示意图[24]Fig.17.(a)–(f)Schematic diagrams of the transfer technique[24].

对转移完成后的MoS2的形貌、光学性质和电学性质的表征如图18所示.图18(a)—(d)分别对应转移前后样品的光学显微镜图像和AFM形貌图.转移前后样品的形貌保持完好,没有褶皱等形变产生,并且表面清洁,无PC残留.图18(e)及图18(f)中的黑色和红色曲线分别为转移前和转移后样品的Raman光谱和PL光谱.无论是峰位还是强度,在样品转移前后均没有明显变化.进一步利用转移后的样品制备出FET器件,如图18(g),器件显示出n型特征,迁移率为27.6 cm2·V−1·s−1,开关比为106,均与此前报道的未经转移的MoS2的FET性能符合[17,21,59,62].以上结果说明这种转移方法完好地保存了转移前样品的性质.

图18 单层MoS2在转移前后的表征[24](a)转移前单层MoS2的光学显微镜图像;(b)转移前单层MoS2的AFM图像;(c)转移后单层MoS2的光学显微镜图像;(d)转移后单层MoS2的AFM图像;(e)黑色和红色曲线分别对应图(a)和(c)中的单层MoS2的Raman光谱;(f)黑色和红色曲线分别对应图(a)和(c)中的单层MoS2的PL光谱;(g)单层MoS2FET的转移特性曲线,插图为器件的光学显微镜图像Fig.18.Characterizations of a monolayer MoS2 fl ake before and after transfer[24]:(a)Optical microscope and(b)AFM images of the monolayer MoS2 fl ake before transfer;(c)optical microscope and(d)AFM images of the monolayer MoS2 fl ake after transfer;(e)Raman spectra of the monolayer MoS2 fl ake illustrated in panels(a)(black curve)and(c)(red curve);(f)PL spectra of the monolayer MoS2 fl ake illustrated in panels(a)(black curve)and(c)(red curve);(g)transfer characteristics of a monolayer MoS2FET based on the fl ake illustrated in panel(c);inset:optical microscope image of the device.

我们利用该转移方法制备了图19(a)所示的逻辑器件.具体加工过程为:先将利用传统微加工方法制备的BN条带转移至机械剥离的MoS2表面,然后将其揭下转移至另一个干净的BN表面,形成BN封装的MoS2三明治结构.值得注意的是,上表面的BN条带不仅可以作为MoS2条带的保护层,还可以作为FET的绝缘层,在其上蒸金属形成栅极,在与之相邻的裸露的MoS2条带上蒸金属则可形成源漏电极,因此器件只需一次EBL和金属沉积便可同时完成源漏电极和顶栅电极的制备.图中的逻辑器件包含左右两个FET,调节栅极电压可以改变沟道的电阻值,从而控制电压VDD的电压降在左右两个沟道中的分配比例.图19(b)为图19(a)所对应的逻辑非门的结构示意图,VDD为10 V.图19(c)中黑色曲线是右侧FET的转移特性曲线,开关比大于106,为MoS2作为逻辑器件沟道材料提供保障,蓝色曲线是通过顶栅的漏电流随栅压的变化曲线,其值均小于1 pA,表明BN的绝缘效果较好.图19(d)为非门器件的逻辑特性曲线,右侧晶体管的开关阈值电压为−5 V左右,输入大于−5 V时右侧MoS2沟道开启,电阻较小,输出电压小于2 V,而当输入电压小于−9 V时,右侧的沟道夹断,输出电压接近VDD.将高电压定义为“1”低电压为“0”,该器件显示出非门特性.图19(e)为器件的输出增益曲线,输出电压在−9—−5 V区间内输出增益为2,与已经报道的MoS2非门器件的结果类似[63,64].

通过这种干法转移技术,机械剥离的二维材料在转移过程中不会发生形变及形成褶皱,配合退火还可以获得十分清洁的接触表面,进而可以制备多层异质结.若要制备特殊形状,还可以先进行图案化处理后再进行转移,大大简化制备过程.

4.2 栅控MoS2/BP异质结器件

我们利用机械剥离的MoS2和BP制备了异质结器件[25].由于BP是一种窄带隙半导体并且其费米能级不会钉扎,因此MoS2/BP在静电场的调控下可以分别形成p-n结和n-n结,并且在这两种异质结中均可以观测到电流整流特性.其中MoS2/BP的n-n异质结电流整流特性是由能量势垒引起的,研究表明该势垒来源于具有宽带隙的MoS2和窄带隙的BP的界面处.与p-n结不同,n-n结的输运仅仅依赖多数载流子,因此响应速度非常快[65],是现代半导体科技中重要的器件结构.

图19 BN/MoS2/BN异质结制备的非门器件[24] (a)器件的光学显微镜图像,紫色虚线框表示BN和电极下面的MoS2条带;(b)器件结构示意图;(c)左侧轴为器件的转移特性曲线(偏压为1 V),右侧轴为对应的栅极泄漏电流曲线;(d)非门器件输出电压对输入电压的依赖关系,插图为非门器件的电路图;(e)输出增益对输入电压的依赖关系Fig.19.Invertor made from the BN/MoS2/BN heterostructure[24]:(a)Optical microscope image of the invertor based on BN/MoS2/BN heterostructure;(b)schematic diagram of the invertor in panel(a);(c)left axis shows:typical transfer characteristic curve(@bias voltage of 1 V)of the MoS2channel in the invertor in panel(b);right axis shows:corresponding leakage currents in the transfer characteristic measurement;(d)output voltages as a function of input voltages,inset is the schematic drawing of the electronic circuit;(e)Vin-dependence of the invert gain.

为了制备MoS2/BP异质结,首先将机械剥离的薄层MoS2转移到SiO2衬底上,然后将BP堆叠到MoS2上.图20(a)为MoS2/BP异质结的原子结构示意图,SiO2衬底上MoS2/BP异质结的光学显微镜图像如图20(b)所示,MoS2和BP的厚度分别为4 nm和41 nm.异质结的Raman表征如图20(c)所示,MoS2位于383 cm−1和407 cm−1的特征峰分别对应于E12g和A1g声子模[66],而BP位于362 cm−1,439 cm−1和467 cm−1的特征峰分别对应A1g,B2g和A2g声子模[67].异质结交叠处的Raman图谱中包括MoS2和BP的特征峰,但是峰位稍有偏移,Raman图谱表明范德瓦耳斯异质结的质量很好.为了测试MoS2/BP异质结的电学性能,我们制备了异质结器件,如图20(d)所示.

图21(a)为异质结器件的输出特性曲线,测试时与MoS2接触的电极接地,源漏电压施加在与BP接触的电极上,而栅极电压的变化范围从−40 V至40 V.插图为器件的光学显微镜图像,MoS2和BP的厚度分别为80 nm和14 nm.由曲线可以看出,异质结展示出明显的电流整流特性,并且整流特性受栅极电压控制.图21(b)为正向电流、反向电流随栅极电压的变化,当栅极电压从−40 V增加至0 V,正向电流与反向电流均减小.插图为电流整流比与栅极电压的依赖关系,当栅极电压从−40 V增加至0 V,在±8 V的源漏电压条件下的整流比从134下降至1,在±5 V的源漏电压条件下的整流比从143下降至1.当栅极电压小于5 V时,MoS2为n型掺杂而BP为p型掺杂,两者之间形成II型p-n异质结,能带结构如图21(c)所示.

图20 (a)范德瓦尔斯堆叠的MoS2-BP异质结的原子结构示意图;(b)Si/SiO2衬底(300 nm SiO2)上MoS2-BP异质结的光学显微镜图像;(c)异质结的Raman光谱;(d)异质结器件示意图[25]Fig.20.(a)Atomistic illustrations of the van der Waals-stacked MoS2-BP heterojunction;(b)optical microscope image of a MoS2-BP heterojunction on a Si/SiO2substrate(300 nm SiO2);(c)Raman spectrum of the heterostructure;(d)schematic diagram of the heterojunction device[25].

图21 (a)MoS2(80 nm)/BP(14 nm)异质结的输出特性曲线,插图为器件的光学显微镜图像;(b)异质结的正向电流、反向电流的栅控,插图为栅极对电流整流比的调控;(c)MoS2/BP p-n结的能带结构;(d)MoS2/BP n-n结的能带结构[25]Fig.21.(a)Output characteristics of the MoS2(80 nm)/BP(14 nm)heterojunction;the inset shows the optical microscope image of the device;(b)gate dependence of forward current,reverse current of the heterojunction;inset shows the gate dependence of current recti fi cation ratio;(c)band alignment of MoS2/BP p-n junction;(d)band alignment of MoS2/BP n-n junction[25].

当栅极电压由10 V增加至40 V,BP从p型掺杂变成n型掺杂,异质结也因此而成为n-n结.图21(b)为正向电流、反向电流以及电流整流比随栅极电压的变化,当栅极电压从10 V增加至40 V,在±8 V的源漏电压条件下的整流比从2.5上升至43,在±5 V的源漏电压条件下的整流比从1.5上升至14.MoS2/BP的n-n异质结电流整流是由能量势垒引起的,该势垒来源于具有宽带隙的MoS2和窄带隙的BP的界面处.n-n异质结的能带结构如图21(d)所示,多层MoS2和BP的带隙分别约为1.3 eV和0.4 eV[68,69].当电子由BP向MoS2移动时,需要克服一个比相反方向移动更高的能量势垒,因此MoS2/BP的n-n异质结具有电流整流特性.

4.3MoS2/WS2范德瓦耳斯异质结

构造高质量的异质结并有效地调控其光电特性在半导体物理领域内具有重要的意义.对于单层二维材料堆垛的异质结,界面是原子级平整的,结区的厚度达到了极限,拥有很高的柔韧性以及化学稳定性,并且与传统的半导体薄膜技术相兼容.这类范德瓦耳斯异质结的制备为研究新奇的量子输运现象提供了平台,而且在光电子器件应用领域具有良好的应用前景.通常情况下,异质结的光电特性受层间耦合的调制,但是二维材料之间的范德瓦耳斯作用较弱,形成异质结时层间耦合通常较弱,所以对光电特性的影响不大.如何获得层间耦合作用强的二维异质结体系,并系统研究耦合作用对异质结光电特性的影响具有重要意义.

我们通过两步法外延生长了MoS2/WS2异质结,这种异质结上下两层之间的相对转角仅为60◦和−60◦(图22(a)—(c)),与直接转移形成的异质结(图22(g)和图22(h))相比,生长的样品具有可控的堆垛构型和原子级平整的界面(图22(d)和图22(f)),是构筑下一代光电子器件的理想材料[26].

图22 生长的与转移的WS2/MoS2垂直异质结的表征[26] (a)MoS2/WS2异质结的原子结构示意图,SiO2/Si上的MoS2/WS2双层有A-A和A-B两种堆垛方式;(b)在SiO2/Si上生长的三角形WS2光学显微镜图像;(c)在WS2上生长的三角形MoS2的光学显微镜图像;(d)SiO2/Si上具有单层厚度的WS2的AFM图像;(e)在WS2上生长的MoS2晶粒的厚度统计图;(f)A-A和A-B两种堆垛方式的原子级平整的MoS2/WS2异质结的AFM图;(g),(h)通过转移方法得到的MoS2/WS2异质结的光学显微镜图像和AFM图像Fig.22.Characterization of as-grown and as-transferred vertically MoS2/WS2heterostructures[26]:(a)Top and side views for atomistic illustration of vertically assembled A-A and A-B stacked MoS2/WS2bilayers on SiO2/Si;(b)optical image of the fi rst grown triangular WS2domains on SiO2/Si;(c)optical image of as-grown MoS2 triangular domains on the top of triangular WS2domains;(d)AFM image of the fi rst grown WS2domain with the monolayer thickness on SiO2/Si;(e)statistic thickness distribution of as-grown monolayer MoS2domains on WS2 layers;(f)AFM image of atomically fl at as-grown MoS2on WS2with A-A and A-B stacking;(g),(h)optical and AFM images of as-transferred random stacking MoS2/WS2heterostructure.

在低温PL光谱测试中(图23(a)),当温度从300 K降到77 K时,MoS2和WS2的A激子发光峰位都发生了明显的红移,这是由温度升高而引起的晶格膨胀导致的.此外,在温度为77 K时,荧光光谱在1.98 eV附近出现了一个明显的额外的峰,该峰来源于层间载流子的复合.从能带结构来看,MoS2和WS2的价带极大值的能量差为350 meV.光生电子和空穴倾向于分别聚集在MoS2和WS2层上,WS2导带产生的光生电子倾向于转移并聚集在MoS2的导带,MoS2价带产生的光生空穴倾向于转移到WS2的价带.因此,电子和空穴就在接触界面上空间地分离开来.空间分离的层间电子空穴对的辐射复合将产生额外的位于1.94 eV附近的峰,如图23(d)和图23(e).而在转移的样品中却很难观察到由于层间激子复合所引入的额外的峰,这也可以证实转移的样品的层间耦合作用力较弱.

图23 生长的MoS2/WS2异质结的低温荧光测量和能带结构示意图[26] (a)生长的MoS2/WS2异质结的变温荧光光谱;(b)温度从77 K增加到300 K,MoS2峰位随温度的依赖关系;(c)MoS2峰位半峰宽的温度依赖关系;(d)生长的MoS2/WS2在77 K时的荧光光谱;(e)单层MoS2,WS2以及耦合的MoS2/WS2异质结的能带结构图Fig.23.Low-temperature PL measurements of as-grown MoS2/WS2heterostructures and schematic diagram of band alignment[26]:(a)Temperature dependence PL spectra from 300 to 77 K for as-grown samples;(b),(c)PL peak energy and FWHM for MoS2plotted as a function of temperature,respectively;(d)PL spectra for as-grown heterostructure and the Lorentzian fi tting at 77 K;(e)the bandgap alignment of coupled MoS2/WS2heterostructure.

4.4 MoS2/graphene异质结

MoS2/graphene异质结的层间转角对其PL光谱与Raman光谱具有调控作用.利用氧气辅助的CVD方法[15]可以在graphene上异质外延得到无转角的单层MoS2三角形单晶,三角形MoS2的边长约7µm,厚度约0.68 nm.在graphene上外延生长的MoS2三角形具有两种等价的取向,对应初始无转角的情况.利用AFM的针尖进行机械操纵,能够使MoS2三角形在graphene上旋转至任意层间转角,图24给出了其中几个不同转角的MoS2三角形单晶.层间旋转角的角度是通过最初无转角的和旋转之后的MoS2的边来确定相对取向而得到的[27,70].

图24 用AFM针尖机械操纵得到的不同转角[27] (a)0◦;(b)6◦;(c)13◦;(d)21◦;(e)30◦;图中比例尺均为2µmFig.24.Di ff erent twisting angles obtained by AFM tip mechanical manipulation[27]:(a)0◦;(b)6◦;(c)13◦;(d)21◦;(e)30◦;the scale bars panels in(a)–(e)are 2 µm.

图25 (a)层间转角对PL光谱的影响;(b)相对PL强度和发射能量与层间转角的关系;(c)层间转角对Raman光谱的影响,插图为E2g峰劈裂的洛伦兹拟合;(d)E2g峰劈裂与层间转角的关系[27]Fig.25.(a)Interlayer twisting angle dependence of PL spectra;(b)relative PL intensity and emission energy versus interlayer twisting angles;(c)interlayer twist angle dependence of Raman spectra;insets is Lorentzian fi tting of the splitting E2g;(d)the plitting of E2gversus interlayer twisting angles[27].

图25(a)给出了MoS2/graphene异质结在层间转角为0◦,12◦,14◦,22◦,25◦,26◦和30◦时的PL光谱.这些PL光谱中的峰用graphene的Raman光谱G峰的强度归一化,以排除可能影响强度的其他外部因素.在转角从0◦变化到30◦时,PL强度单调递增,Γ点和K点的能量差减小,除了转角为30◦之外,异质结中的MoS2的带隙主要是间接带隙[71],当转角为30◦时PL强度约为转角为0◦时的2.13±0.1倍,能量比0◦转角高了11 meV,这与层间转角、层间耦合和取向失配引起的晶格应变相关.晶格应变还可导致MoS2中E2g峰的劈裂[40,72],如图25(c),分别为0◦,9◦,20◦和30◦转角时的Raman光谱,无转角时的E2g模的洛伦兹拟合表明E2g模劈裂出了两个峰,说明了MoS2/graphene异质结中有应变存在.应变源于28%的晶格失配与和层间范德瓦耳斯相互作用.E2g模劈裂从转角为0◦到转角为30◦逐渐减小,表明应变逐渐减小.

MoS2/graphene范德瓦耳斯异质结中单层MoS2的PL光谱与Raman光谱强烈依赖于层间转角.利用AFM针尖推动旋转MoS2的方法为获得具有可控转角的范德瓦耳斯异质结构提供了一条可行的途径.

5 单层MoS2薄膜的器件与应用

5.1 MoS2短沟道器件

随着传统硅基半导体器件的小型化逐渐接近其物理极限,寻找新的材料、发展新的技术使器件尺寸进一步缩小是该领域的发展趋势.近几年来,利用二维半导体材料构造短沟道FET成为人们探索的热点课题.二维材料因其超薄的厚度成为一种潜在的构造超短沟道FET的材料,理论上可以有效降低短沟道效应[2,73−77].然而,构造一个真正的三端亚5 nm短沟道FET器件并有效避免短沟道效应还存在技术上的挑战.

我们利用晶界的刻蚀和展宽技术制备出graphene纳米间隙电极,然后利用干法转移技术将作为沟道材料的单层MoS2与作为栅介质材料的少层BN依次进行堆叠,构造出一系列不同沟道长度的单层MoS2短沟道FETs,最小沟道长度约为4 nm.用graphene接触的MoS2超短沟道晶体管具有优异的性能,不仅可以避免边缘效应,而且可以实现近欧姆接触,具有良好的抗短沟道效应的能力[73−81].该技术同样可以用于制备其他二维半导体材料短沟道晶体管器件.短沟道器件在未来器件尺寸极度缩小的大规模集成电子学中有巨大的应用潜力.

利用刻蚀的graphene纳米沟槽作为电极,可以在300 nm SiO2/Si衬底上制备出超短沟道MoS2FET[28].制备工艺如图26(a),首先将机械剥离的单层MoS2转移到graphene纳米沟槽上,然后通过传统的微加工方法加工出条带并引出电极进行测量.通过进一步转移超薄的BN覆盖沟道区域,制作顶栅电极,可以将器件升级为双栅控制结构,器件结构如图26(b)和图26(c).

图26 (a)Graphene接触超短沟道MoS2晶体管制作工艺流程图;(b)顶栅/(c)底栅器件的原子剖面图[28]Fig.26.(a)The fabrication process of graphene-contacted ultrashort channel MoS2transistors;(b),(c)atomic sectional drawing of a device in top-gated/back-gated geometry[28].

图27 (a)超短沟道单层MoS2底栅器件的光学显微镜图像;(b)在不同底栅电压下,8 nm沟道器件的输出特性曲线;不同偏压下(c)8 nm沟道和(d)3.8 nm沟道底栅器件的半对数坐标的转移特性曲线;(e)底栅MoS2晶体管的沟道长度与开关比、本征场效应迁移率、SS值和DIBL值的关系[28]Fig.27.(a)Optical microscope image of a typical ultrashort channel back-gated monolayer MoS2;(b)typical output curves of 8 nm MoS2FET at various back-gated voltages;(c),(d)semi-log plot of transfer characteristics of 8 nm and 3.8 nm MoS2FETs at various bias voltages respectively;(e)channel length-dependent on/o ffratio,intrinsic fi eld-e ff ect mobilities,SS and DIBL of back-gated MoS2transistors[28].

图28 (a)超短沟道单层MoS2顶栅器件的光学显微镜图像;(b)在不同顶栅电压下,9 nm沟道器件的输出特性曲线;(c)9 nm沟道和(d)4 nm沟道底栅器件的半对数坐标不同偏压下的转移特性曲线;(e)顶栅MoS2晶体管的沟道长度与开关比、本征场效应迁移率、SS值和DIBL值的关系[28]Fig.28.(a)Optical microscope image of a typical ultrashort channel top-gated monolayer MoS2;(b)typical output curves of 9 nm MoS2FET at various back-gated voltages;(c),(d)semi-log plot of transfer characteristics of 9 nm and 4 nm MoS2FETs at various bias voltages respectively;(e)channel length-dependent on/o ffratio,intrinsic fi elde ff ect mobilities,SS and DIBL of top-gated MoS2transistors[28].

图27显示了底栅器件的电学特性.器件的沟道长度分别为8 nm和3.8 nm,这两个器件的输出特性曲线和转移特性曲线如图27(b)—(d)所示,接触电阻在器件总电阻中占主要地位.8 nm器件的开关电流比约为1.5×106,和长沟道器件类似[19,21,82];3.8 nm器件的开关电流比约为5×105,出现明显的短沟道效应.通过对不同沟道长度的多个器件的测量,统计了这些器件的开关比、迁移率、亚阈值摆幅(subthreshold swing,SS)值和漏致势垒降低(drain induced barrier lowering,DIBL)值,如图27(e).迁移率和开关电流比随沟道长度的减小而降低,但不是很严重;在沟道长度小于16 nm时,SS值和DIBL值均明显增加,说明短沟道效应开始出现.

图28显示了顶栅器件的电学特性.器件的沟道长度分别为9 nm(BN厚度约4 nm)和4 nm(BN厚度约2.5 nm),这两个器件的输出特性曲线和转移特性曲线如图28(b)—(d),图28(e)显示了具有不同沟道长度的器件的统计数据.可以看到,在沟道长度大于9 nm时,器件没有出现短沟道效应,开关电流比大于4.5×107,迁移率高于30 cm2·V−1·s−1,SS值低于93 mV·dec−1,DIBL值小于0.425 V·V−1.在沟道长度为4 nm,即接近特征长度时,器件的开关比、关态电流密度、SS值、DIBL值分别为2.6×106,5 pA·µm−1,208 mV·dec−1,1.03 V·V−1,出现轻微的短沟道效应,但仍可应用于高性能FETs.

5.2 MoS2柔性器件

MoS2薄膜在高性能光电探测器、环境传感器、柔性电子器件等方面有着广阔的应用前景.然而,柔性衬底上的MoS2的TFT此前只是基于机械剥离样品,因此只适用于小规模[5,83−85].我们用CVD方法合成的大面积MoS2制作TFT柔性器件,在柔性衬底上加工得到的FET阵列显示了优异的电学性能,器件具有高的迁移率,开关比大于105[29],且在外加应变的情况下具有良好的机械稳定性,在柔性电子学器件的应用中显示了巨大潜力.CVD方法外延得到的大尺寸MoS2样品为其在未来的大规模集成领域的应用提供了可能.

由CVD方法在SiO2衬底上生长连续成膜的单层MoS2作为沟道材料.单层MoS2的晶粒大小约1µm,薄膜厚度约1 nm.两个典型的Raman峰为E2g≈ 384 cm−1和A1g≈ 404 cm−1,峰间距≈20 cm−1,与单层MoS2相符.

图29(a)—(d)是柔性器件的加工过程.用作衬底的柔性材料是300µm厚的PET,在PET上旋涂1—2µm厚的PDMS使表面更加平整,然后蒸镀30 nm的Au作为栅电极.为了使HfO2这种高介电常数材料能够沉积在样品上,需要在Au上蒸镀1—2 nm厚的钛(titanium,Ti)并氧化.随后使用原子层沉积(atomic layer deposition,ALD)的方法沉积30 nm厚的HfO2作为栅介电层.用PMMA辅助化学腐蚀的方法将CVD生长的MoS2从SiO2衬底转移至HfO2上[17,86],转移后没有明显的褶皱和破损,仍然保持MoS2薄膜原本的质量.然后利用微加工的方法,先把MoS2薄膜加工成不同长宽比的条带(长10—90µm,宽2.5—50µm),再蒸镀上电极.

在真空中对MoS2柔性器件进行电学测量,得到TFT器件的输出特性曲线和转移特性曲线如图30(a)和图30(b).在I-V特性曲线图中,栅极电压从8 V变化到−8 V,在较低偏压时,I-V曲线呈现出较好的线性关系,表明用作沟道的MoS2与金属电极之间的接触良好.器件在源漏偏压为1 V时达到饱和,并在增加源漏偏压时表现出很好的饱和性质.在I-Vg特性曲线图中,源漏偏压从100 mV变化到1 V,工作状态时的栅极电压小于8 V,介电层的性质良好.由I-Vg特性曲线图可以计算得到MoS2柔性器件的平均迁移率µ ≈ (13.9 ± 2)cm2·V−1s−1,开关电流比约为3.2×105±2×105.测量了不同沟道长度和宽度的多个器件,统计图如图30(e)和图30(f),器件性能与直接在Si衬底和有机衬底上制作的TFT相当,甚至更好[87−89].MoS2柔性器件在不同温度下的特性如图30(c)和图30(d),由于衬底掺杂的抑制,当温度从300 K下降到80 K时,阈值电压由负值变为正值.

图29 柔性器件的制作过程[29] (a)在涂有PDMS的PET上沉积Au;(b)ALD沉积HfO2;(c)转移MoS2;(d)微加工工艺制作两端器件Fig.29.The device fabrication process[29]:(a)Deposition of Au on fl exible PET substrate precoated PDMS;(b)atomic layer deposition of HfO2layer on the substrate;(c)transferring MoS2 fi lm on HfO2layer;(d)fabrication of two terminal MoS2device.

图30 (a)沟道长85µm,宽2.5µm器件的输出特性曲线;(b)该器件的转移特性曲线;(c)源漏电流随栅电压的温度变化,偏压为1 V;(d)Arrhenius图显示的漏电流,插图显示了迁移率随温度的变化;(e)器件阵列的迁移率统计数据;(f)开关电流比的统计数据[29]Fig.30.(a)The output characteristic of the device with channel length≈ 85µm and width≈ 2.5µm;(b)the transfer characteristic of the device;(c)temperature dependence of the source-drain current with the gate voltage the bias is 1 V;(d)Arrhenius plot of the drain current;the inset image shows the mobility change with temperature;(e)statistical data of mobilities for the devices array;(f)statistical distribution of the Ion/Ioffswitched ratio[29].

在施加与电流方向平行的应变的情况下,对这些柔性TFT器件的机械稳定性进行了测评[86,90].如图31(b)显示了偏压1 V时,器件在应变下的I-Vg曲线,图31(c)显示了在应变从0%到1%的变化下,器件的开态电流、关态电流和开关电流比.图31(d)显示了不同应变下计算得到的迁移率,归一化的迁移率随应变的降低不超过5%.衬底是限制增加应变的主要因素.在1%的单轴应变下,器件的性能没有明显的变化,表现出柔性TFT器件的稳定性.

图31 (a)弯曲测量器件示意图;(b)在加0%和1%应变下器件的转移特性曲线;(c)随着应变的增加,开关电流都没有明显的变化;(d)迁移率对应变的依赖关系[29]Fig.31.(a)The schematic image of the bendable device;(b)the transfer characteristics of the device before and after≈1%strain added;(c)both on and o ffcurrents has no obvious change with various strain added;(d)the dependence of the carrier mobility on the strain[29].

5.3 MoS2湿度传感器

单层MoS2用于制作湿度传感器阵列,对水含量的灵敏度很高[30].该工作中MoS2FET的电阻随着相对湿度的变化超过了104.与先前报道的基于MoS2的化学传感器相比[91−93],MoS2湿度传感器不仅具有极高的灵敏度,而且适用于不同的湿度环境.由于水分子在表面是物理吸附,所以器件的响应时间很短.此外,该器件具有较长的寿命,在长期和可重复使用的湿度传感应用中具有很大的潜力.在柔性衬底上加工得到的MoS2FET集成器件阵列可以同时具有湿度传感功能和逻辑功能,为实现功能集成化MoS2柔性电子学器件开辟了新的道路.

采用Au辅助剥离的方法将CVD生长的单层连续MoS2薄膜图案化并作为沟道材料,采用光刻和金属沉积方法制作源漏电极[21].器件工艺流程与4×4阵列的光学显微镜图像如图32.

在气压小于10−3Torr的真空环境中进行了湿度测量,用氮气携带水蒸气进入腔内,通过对氮气流速的调节可以精确控制相对湿度(relative humidity,RH).RH对单层MoS2的光学性质有明显影响,造成Raman光谱中A1g峰位的蓝移和PL峰强度的增强,这是由于水分子吸附引起的电子-声子相互作用的变化,表现出水分子的p型掺杂效应[94−97].

图32 (a)单层MoS2FETs阵列制作工艺示意图;(b)MoS2器件阵列在SiO2/Si衬底上的光学图像,插图为图案化加工后超洁净的MoS2表面的AFM图像[30]Fig.32.(a)The fabrication process of monolayer MoS2FETs array;(b)the optical image of the MoS2 devices array on silicon substrate with 300 nm SiO2,and the inset shows the AFM image of the patterned ultraclean MoS2surface[30].

图33 (a)在0%RH下MoS2FET的输运特性;(b)不同RH下MoS2FET的输出特性;(c)随着RH由0%提高到35%,器件的转移曲线;(d)在不同的RH下的电阻变化,蓝线和红线分别为在线性和对数坐标的趋势;(e)迁移率和开关电流比与RH变化关系的统计结果;(f)随着RH的增加,阈值电压向正方向移动[30]Fig.33.(a)The transport property of the MoS2FET at 0%RH;(b)the output characteristic of the MoS2 FET under di ff erent RHs;(c)the transfer curves of the device with RHs increasing from 0%to 35%;(d)the resistance variation at di ff erent RHs the blue and red lines show increment trends in linear and logarithmic coordinates respectively;(e)the statistical results for mobilities and on/o ffratios which decrease in a linear relation with RH increments;(f)the threshold voltages shift to positive direction with increased RHs[30].

在进行电学性质测量之前,传感器先在450 K的真空中进行退火,去除表面吸附.图33(a)为器件的转移特性曲线,可以得到开关电流比为106,迁移率为40 cm2·V−1·s−1. 在不同RH下的输出特性曲线与转移特性曲线如图33(b)和图33(c),可以看出RH的变化对器件性能有明显影响.由图33(d)可见,在VG=30 V时MoS2FET在干燥空气(RH=0%)中的电阻为1.27×107Ω,当RH增大至35%时,电阻增大为8.3×1011Ω,电阻变化超过四个数量级,这来源于水分子在MoS2表面的有效吸附,并且器件的灵敏度可以由栅极电压调制.测量了50多个器件的统计结果显示,其迁移率和开关电流比随RH的变化如图33(e),器件具有很好的稳定性.此外,由图33(f)可以看出,这些器件的阈值电压倾向于随着RH的增加而向正方向移动.

除灵敏度测试外,还对MoS2湿度传感器进行了动态测试,结果表明器件有良好的响应速率和恢复速率.并且即使经过一个月的测量,器件仍然表现出极高的稳定性.

图34展示了在刚性和柔性衬底上的MoS2湿度传感器的非接触式湿度传感特性.当手指逐渐靠近传感器时,器件的电阻呈指数增长.图34(c)和图34(d)分别显示了手指和N形潮湿物体靠近传感器时的归一化电阻变化与相对湿度分布二维图像.图34(f)显示了在应变为1%的条件下对MoS2FET的实时湿度传感试验,其传感性能能够很好地保持.MoS2湿度传感器在长期和可重复使用的湿度传感应用中具有很大的潜力,可以用于非接触式开关等.

图34 (a)MoS2湿度传感器阵列的光学图像;(b)随着手指靠近MoS2器件,电阻呈指数增长;(c)左图显示当手指与阵列有一定距离(3 mm)时,每个MoS2FET像素的测量电阻,右图显示根据测量的传感结果计算的RH分布;(d)N形潮湿物体的电阻的分布和计算的RH(3 mm);(e)MoS2FET阵列在柔性PDMS衬底上的示意图;(f)在无应变和应变≈1%的条件下对MoS2FET进行的实时湿度传感试验[30]Fig.34.(a)The optical image of the MoS2humidity sensor array in the chip carrier;(b)the resistance increased exponentially with the fi nger moving closer to the MoS2device;(c)the left image shows the measured resistances of each MoS2FET pixel when the fi nger has a certain distance(3 mm)from the sensory array;the right image shows the calculated RH distribution according to the measured sensing results;(d)the distributions of resistances and calculated RHs according to a wet object in N shape with a distance(3 mm)with the sensory array;(e)schematic illustration of MoS2FETs array on soft PDMS substrate;(f)the real-time humidity sensing tests of the MoS2FET on both fl at and bend(strain≈1%)condition[30].

6 总结与展望

本文回顾了课题组在过去几年中针对MoS2薄膜开展的研究工作.在薄膜的制备与加工方面,我们利用CVD方法,实现了多晶单层MoS2薄膜的可控生长,并逐步优化生长条件,最终制备出大尺寸单层MoS2单晶和晶圆尺寸高定向的单层MoS2薄膜,大面积高质量MoS2薄膜的生长为MoS2在大规模集成器件中的应用提供了材料基础.我们还展示了MoS2薄膜的水辅助转移、剥离法图案化加工以及Ar等离子体诱导MoS2结构改变和可控局域相变等技术,为加工MoS2器件、降低接触电阻以及提高器件性能提供了思路.另外,我们对MoS2薄膜与其他二维材料形成的异质结进行了研究,对其优异的电学性质以及光电性质的物理机理进行了探讨.在功能化器件与应用方面,用晶界刻蚀和展宽技术加工出的graphene纳米间隙作为电极,单层MoS2薄膜作为沟道材料,我们制备了具有极低的接触电阻和极弱的边缘效应的全二维材料短沟道FET,表明单层MoS2能够有效地抑制短沟道效应,实现了电场对沟道载流子的高效调控.我们利用CVD法生长的单层MoS2薄膜加工制备了集成柔性TFT,器件具有高的载流子迁移率和开关比,并且在施加应变的情况下仍然能够稳定工作.采用剥离法图案化加工方法制备的单层MoS2薄膜非接触型湿度传感器,由于MoS2具有洁净的表面,表现出超高的灵敏度与稳定性.MoS2器件所展现出的优越性能不仅证明了制备的MoS2薄膜具有非常高的质量,而且为MoS2薄膜的实际应用奠定了实验基础.

实际上,对于MoS2薄膜制备、性质表征、器件加工等方面的研究远不止上述工作,还有许多关键性问题需要解决,因此MoS2薄膜的研究面临着很多的机遇与挑战.比如制备晶圆尺寸单层单晶MoS2薄膜或者快速地可控生长特定层数的高质量薄膜,尤其是AA堆垛的双层薄膜,它保持了MoS2的空间反演对称性,对于非线性光学的研究有潜在应用价值.在MoS2薄膜的性质研究方面,元素掺杂、应力、缺陷等对于MoS2薄膜性质的影响、机械性能的测量、谷电子学与自旋电子学性质的研究均非常重要.对于MoS2薄膜的转移,优化转移技术、有效控制薄膜应力、进一步减少转移后出现的皱褶和裂缝在大规模MoS2柔性集成器件中至关重要.对于MoS2薄膜的器件与应用,例如柔性透明电子器件、光发射晶体管、光电探测器、异质结器件、析氢催化、能量存储以及DNA测序等众多方向有待进一步的深入探索.

[1]Novoselov K S,Geim A K,Morozov S V,Jiang D,Zhang Y,Dubonos S V,Grigorieva I V,Firsov A A 2004 Science 306 666

[2]Radisavljevic B,Radenovic A,Brivio J,Giacometti V,Kis A 2011 Nat.Nanotechnol.6 147

[3]Cui X,Lee G H,Kim Y D,Arefe G,Huang P Y,Lee C H,Chenet D A,Zhang X,Wang L,Ye F,Pizzocchero F,Jessen B S,Watanabe K,Taniguchi T,Muller D A,Low T,Kim P,Hone J 2015 Nat.Nanotechnol.10 534

[4]Lopez-Sanchez O,Lembke D,Kayci M,Radenovic A,Kis A 2013 Nat.Nanotechnol.8 497

[5]Pu J,Yomogida Y,Liu K K,Li L J,Iwasa Y,Takenobu T 2012 Nano Lett.12 4013

[6]Wang H,Yu L L,Lee Y H,Shi Y M,Hsu A,Chin M L,Li L J,Dubey M,Kong J,Palacios T 2012 Nano Lett.12 4674

[7]Tan L K,Liu B,Teng J H,Guo S H,Low H Y,Loh K P 2014 Nanoscale 6 10584

[8]Liu H J,Jiao L,Yang F,Cai Y,Wu X X,Ho W K,Gao C L,Jia J F,Wang N,Fan H,Yao W,Xie M H 2014 Phys.Rev.Lett.113 066105

[9]Serna M I,Yoo S H,Moreno S,Xi Y,Oviedo J P,Choi H,Alshareef H N,Kim M J,Minary-Jolandan M,Quevedo-Lopez M A 2016 ACS Nano 10 6054

[10]Li H N,Li Y,Aljarb A,Shi Y M,Li L J 2017 Chem.Rev.7b00212

[11]Kang K,Xie S,Huang L,Han Y,Huang P Y,Mak K F,Kim C J,Muller D,Park J 2015 Nature 520 656

[12]Lin Y C,Zhang W J,Huang J K,Liu K K,Lee Y H,Liang C T,Chu C W,Li L J 2012 Nanoscale 4 6637

[13]Kang K,Lee K H,Han Y,Gao H,Xie S,Muller D A,Park J 2017 Nature 550 229

[14]Das S,Chen H Y,Penumatcha A V,Appenzeller J 2013 Nano Lett.13 100

[15]Zheng J Y,Yan X X,Lu Z X,Qiu H L,Xu G C,Zhou X,Wang P,Pan X Q,Liu K H,Jiao L Y 2017 Adv.Mater.29 1604540

[16]Kappera R,Voiry D,Yalcin S E,Branch B,Gupta G,Mohite A D,Chhowalla M 2014 Nat.Mater.13 1128

[17]Zhang J,Yu H,Chen W,Tian X Z,Liu D H,Cheng M,Xie G B,Yang W,Yang R,Bai X D,Shi D X,Zhang G Y 2014 ACS Nano 8 6024

[18]Chen W,Zhao J,Zhang J,Gu L,Yang Z Z,Li X M,Yu H,Zhu X T,Yang R,Shi D X,Lin X C,Guo J D,Bai X D,Zhang G Y 2015 J.Am.Chem.Soc.137 15632

[19]Yu H,Yang Z Z,Du L J,Zhang J,Shi J N,Chen W,Chen P,Liao M Z,Zhao J,Meng J L,Wang G L,Zhu J Q,Yang R,Shi D X,Gu L,Zhang G Y 2017 Small 13 1603005

[20]Yu H,Liao M,Zhao W,Liu G,Zhou X J,Wei Z,Xu X,Liu K,Hu Z,Deng K,Zhou S,Shi J A,Gu L,Shen C,Zhang T,Du L,Xie L,Zhu J,Chen W,Yang R,Shi D,Zhang G 2017 ACS Nano 11 12001

[21]Zhao J,Yu H,Chen W,Yang R,Zhu J Q,Liao M Z,Shi D X,Zhang G Y 2016 ACS Appl.Mater.Interfaces 8 16546

[22]Meng J L,Wang G L,Li X M,Lu X B,Zhang J,Yu H,Chen W,Du L J,Liao M Z,Zhao J,Chen P,Zhu J Q,Bai X D,Shi D X,Zhang G Y 2016 Small 12 3770

[23]Zhu J Q,Wang Z C,Yu H,Li N,Zhang J,Meng J L,Liao M Z,Zhao J,Lu X B,Du L J,Yang R,Shi D X,Jiang Y,Zhang G Y 2017 J.Am.Chem.Soc.139 10216[24]Xie L,Du L J,Lu X B,Yang R,Shi D X,Zhang G Y 2017 Chin.Phys.B 26 087306

[25]Chen P,Xiang J Y,Yu H,Zhang J,Xie G B,Wu S,Lu X B,Wang G L,Zhao J,Wen F S,Liu Z Y,Yang R,Shi D X,Zhang G Y 2015 2D Materials 2 034009

[26]Zhang J,Wang J H,Chen P,Sun Y,Wu S,Jia Z Y,Lu X B,Yu H,Chen W,Zhu J Q,Xie G B,Yang R,Shi D X,Xu X L,Xiang J Y,Liu K H,Zhang G Y 2016 Adv.Mater.28 1950

[27]Du L J,Yu H,Liao M Z,Wang S P,Xie L,Lu X B,Zhu J Q,Li N,Shen C,Chen P,Yang R,Shi D X,Zhang G Y 2017 Appl.Phys.Lett.111 263106

[28]Xie L,Liao M Z,Wang S P,Yu H,Du L J,Tang J,Zhao J,Zhang J,Chen P,Lu X B,Wang G L,Xie G B,Yang R,Shi D X,Zhang G Y 2017 Adv.Mater.29 1702522

[29]Zhao J,Chen W,Meng J L,Yu H,Liao M Z,Zhu J Q,Yang R,Shi D X,Zhang G Y 2016 Adv.Elec.Mater.2 1500379

[30]Zhao J,Li N,Yu H,Wei Z,Liao M Z,Chen P,Wang S P,Shi D X,Sun Q J,Zhang G Y 2017 Adv.Mater.29 1702076

[31]Desai S B,Madhvapathy S R,Sachid A B,Llinas J P,Wang Q X,Ahn G H,Pitner G,Kim M J,Bokor J,Hu C M,Wong H S P,Javey A 2016 Science 354 99

[32]Feng J D,Graf M,Liu K,Ovchinnikov D,Dumcenco D,Heiranian M,Nandigana V,Aluru N R,Kis A,Radenovic A 2016 Nature 536 197

[33]Sahoo P K,Memaran S,Xin Y,Balicas L,Gutiérrez H R 2018 Nature 553 63

[34]Baker M A,Gilmore R,Lenardi C,Gissler W 1999 Appl.Surf.Sci.150 255

[35]Yu Y F,Li C,Liu Y,Su L Q,Zhang Y,Cao L Y 2013 Sci.Rep.3 1866

[36]Islam N R,Kang N,Bhanu U,Paudel H P,Erementchouk M,Tetard L,Leuenberger M N,Khondaker S I 2014 Nanoscale 6 10033

[37]Yang W,Chen G,Shi Z,Liu C C,Zhang L,Xie G,Cheng M,Wang D,Yang R,Shi D,Watanabe K,Taniguchi T,Yao Y,Zhang Y,Zhang G 2013 Nat.Mater.12 792

[38]Geim A K,Grigorieva I V 2013 Nature 499 419

[39]Lee G H,Yu Y J,Cui X,Petrone N,Lee C H,Choi M S,Lee D Y,Lee C,Yoo W J,Watanabe K,Taniguchi T,Nuckolls C,Kim P,Hone J 2013 ACS Nano 7 7931

[40]Conley H J,Wang B,Ziegler J I,Haglund R F,Pantelides S T,Bolotin K I 2013 Nano Lett.13 3626

[41]Lee Y H,Yu L L,Wang H,Fang W J,Ling X,Shi Y M,Lin C T,Huang J K,Chang M T,Chang C S,Dresselhaus M,Palacios T,Li L J,Kong J 2013 Nano Lett.13 1852

[42]Gurarslan A,Yu Y F,Su L Q,Yu Y L,Suarez F,Yao S,Zhu Y,Ozturk M,Zhang Y,Cao L Y 2014 ACS Nano 8 11522

[43]Schneider G F,Calado V E,Zandbergen H,Vandersypen L M K,Dekker C 2010 Nano Lett.10 1912

[44]Braga S F,Coluci V R,Legoas S B,Giro R,Galvão D S,Baughman R H 2004 Nano Lett.4 881

[45]Chen Y,Lu J,Gao Z X 2007 J.Phys.Chem.C 111 1625

[46]Pan H,Feng Y,Lin J 2005 Phys.Rev.B 72 085415

[47]Lauret J S,Arenal R,Ducastelle F,Loiseau A,Cau M,Attal-Tretout B,Rosencher E,Goux-Capes L 2005 Phys.Rev.Lett.94 037405

[48]Guo G Y,Lin J C 2005 Phys.Rev.B 71 165402

[49]Xiao J,Long M Q,Li X M,Xu H,Huang H,Gao Y L 2014 Sci.Rep.4 4327

[50]Ghorbani-AslM,ZiboucheN,Wahiduzzaman M,Oliveira A F,Kuc A,Heine T 2013 Sci.Rep.3 2961

[51]Chhowalla M,Shin H S,Eda G,Li L J,Loh K P,Zhang H 2013 Nat.Chem.5 263

[52]Duerloo K A N,Li Y,Reed E J 2014 Nat.Commun.5 4214

[53]Guo Y,Sun D,Ouyang B,Raja A,Song J,Heinz T F,Brus L E 2015 Nano Lett.15 5081

[54]Eda G,Fujita T,Yamaguchi H,Voiry D,Chen M,Chhowalla M 2012 ACS Nano 6 7311

[55]Nayak A P,Pandey T,Voiry D,Liu J,Moran S T,Sharma A,Tan C,Chen C,Li L J,Chhowalla M U,Lin J F,Singh A K,Akinwande D 2015 Nano Lett.15 346

[56]Liu Q,Li X,He Q,Khalil A,Liu D,Xiang T,Wu X,Song L 2015 Small 11 5556

[57]Splendiani A,Sun L,Zhang Y,Li T,Kim J,Chim C Y,Galli G,Wang F 2010 Nano Lett.10 1271

[58]Eda G,Yamaguchi H,Voiry D,Fujita T,Chen M,Chhowalla M 2011 Nano Lett.11 5111

[59]Cai L,He J,Liu Q,Yao T,Chen L,Yan W,Hu F,Jiang Y,Zhao Y,Hu T,Sun Z,Wei S 2015 J.Am.Chem.Soc.137 2622

[60]Castellanos-Gomez A,Buscema M,Molenaar R,Singh V,Janssen L,Herre S J,van der Zant,Steele G A 2014 2D Materials 1 011002

[61]Wang L,Meric I,Huang P,Gao Q,Gao Y,Tran H,Taniguchi T,Watanabe K,Campos L,Muller D 2013 Science 342 614

[62]Kwak J Y,Hwang J,Calderon B,Alsalman H,Munoz N,Schutter B,Spencer M G 2014 Nano Lett.14 4511

[63]Radisavljevic B,Whitwick M B,Kis A 2011 ACS Nano 5 9934

[64]Xu K,Chen D X,Yang F Y,Wang Z X,Yin L,Wang F,Cheng R Q,Liu K H,Xiong J,Liu Q,He J 2017 Nano Lett.17 1065

[65]Lee S C,Pearson G L 1981 Solid State Electron.24 563[66]Lee C,Yan H,Brus L E,Heinz T F,Hone J,Ryu S 2010 Acs Nano 4 2695

[67]Liu H,Neal A T,Zhu Z,Luo Z,Xu X F,Tomanek D,Ye P D D 2014 ACS Nano 8 4033

[68]Mak K F,Lee C,Hone J,Shan J,Heinz T F 2010 Phys.Rev.Lett.105 136805

[69]Tran V,Soklaski R,Liang Y,Yang L 2014 Phys.Rev.B 89 235319

[70]van der Zande A M,Huang P Y,Chenet D A,Berkelbach T C,You Y M,Lee G H,Heinz T F,Reichman D R,Muller D A,Hone J C 2013 Nat.Mater.12 554

[71]Jin W,Yeh P C,Zaki N,Chenet D,Arefe G,Hao Y,Sala A,Mentes T O,Dadap J I,Locatelli A,Home J,Osgood Jr R M 2015 Phys.Rev.B 92 201409

[72]Zhu C R,Wang G,Liu B L,Marie X,Qiao X F,Zhang X,Wu X X,Fan H,Tan P H,Amand T,Urbaszek B 2013 Phys.Rev.B 88 121301

[73]Li L,Yu Y,Ye G J,Ge Q,Ou X,Wu H,Feng D,Chen X H,Zhang Y 2014 Nat.Nanotechnol.9 372

[74]Buscema M,Groenendijk D J,Blanter S I,Steele G A,van der Zant H S,Castellanos-Gomez A 2014 Nano Lett.14 3347

[75]Na J,Lee Y T,Lim J A,Hwang D K,Kim G T,Choi W K,Song Y W 2014 ACS Nano 8 11753

[76]Campbell P M,Tarasov A,Joiner C A,Tsai M Y,Pavlidis G,Graham S,Ready W J,Vogel E M 2016 Nanoscale 8 2268

[77]Chuang H J,Tan X,Ghimire N J,Perera M M,Chamlagain B,Cheng M M C,Yan J,Mandrus D,Tománek D,Zhou Z 2014 Nano Lett.14 3594

[78]Cao Y,Wei Z,Liu S,Gan L,Guo X,Xu W,Steigerwald M L,Liu Z,Zhu D 2010 Angew.Chem.122 6463

[79]Cao Y,Liu S,Shen Q,Yan K,Li P,Xu J,Yu D,Steigerwald M L,Nuckolls C,Liu Z 2009 Adv.Funct.Mater.19 2743

[80]Liu Y,Guo J,Wu Y C,Zhu E,Weiss N O,He Q,Wu H,Cheng H C,Xu Y,Shakir I 2016 Nano Lett.16 6337

[81]Liu Y,Wu H,Cheng H C,Yang S,Zhu E,He Q,Ding M,Li D,Guo J,Weiss N O 2015 Nano Lett.15 3030

[82]Miao J,Zhang S,Cai L,Scherr M,Wang C 2015 ACS Nano 9 9236

[83]Roy T,Tosun M,Kang J S,Sachid A B,Desai S B,Hettick M,Hu C M C,Javey A 2014 ACS Nano 8 6259[84]Salvatore G A,Munzenrieder N,Barraud C,Petti L,Zysset C,Buthe L,Ensslin K,Troster G 2013 ACS Nano 7 8809

[85]Yoon J,Park W,Bae G Y,Kim Y,Jang H S,Hyun Y,Lim S K,Kahng Y H,Hong W K,Lee B H,Ko H C 2013 Small 9 3295

[86]Zhao J,Wang G L,Yang R,Lu X B,Cheng M,He C L,Xie G B,Meng J L,Shi D X,Zhang G Y 2015 ACS Nano 9 1622

[87]Powell M J 1989 IEEE Trans.Electron.Dev.36 2753

[88]Dimitrakopoulos C D,Malenfant P R L 2002 Adv.Mater.14 99

[89]Street R A 2009 Adv.Mater.21 2007

[90]Zhao J,He C L,Yang R,Shi Z W,Cheng M,Yang W,Xie G B,Wang D M,Shi D X,Zhang G Y 2012 Appl.Phys.Lett.101 063112

[91]Late D J,Liu B,Matte H S S R,Dravid V P,Rao C N R 2012 ACS Nano 6 5635

[92]Zhang S L,Choi H H,Yue H Y,Yang W C 2014 Curr.Appl.Phys.14 264

[93]Late D J,HuangY K,Liu B,Acharya J,Shirodkar S N,Luo J J,Yan A M,Charles D,Waghmare U V,Dravid V P,Rao C N R 2013 ACS Nano 7 4879

[94]Wang Y L,Cong C X,Qiu C Y,Yu T 2013 Small 9 2857[95]Horzum S,Sahin H,Cahangirov S,Cudazzo P,Rubio A,Serin T,Peeters F M 2013 Phys.Rev.B 87 125415[96]Tongay S,Zhou J,Ataca C,Liu J,Kang J S,Matthews T S,You L,Li J B,Grossman J C,Wu J Q 2013 Nano Lett.13 2831

[97]Varghese J O,Agbo P,Sutherland A M,Brar V W,Rossman G R,Gray H B,Heath J R 2015 Adv.Mater.27 2734

猜你喜欢
衬底单层异质
二维四角TiC单层片上的析氢反应研究
“对赌”语境下异质股东间及其与债权人间的利益平衡
基于PLC控制的立式单层包带机的应用
深圳:研发出单层多晶石墨烯可控断裂技术
翡翠衬底颜色对翡翠质量判断的影响
大尺寸低阻ZnO单晶衬底
随机与异质网络共存的SIS传染病模型的定性分析
大尺寸低阻ZnO 单晶衬底
大尺寸低阻ZnO 单晶衬底
Ag2CO3/Ag2O异质p-n结光催化剂的制备及其可见光光催化性能