基于AdaBoost的飞机部件DMC预计方法研究

2016-12-06 07:22徐建新孙发东
中国民航大学学报 2016年5期
关键词:权值分类器部件

徐建新,孙发东

(中国民航大学航空工程学院,天津 300300)

基于AdaBoost的飞机部件DMC预计方法研究

徐建新,孙发东

(中国民航大学航空工程学院,天津300300)

飞机部件的直接维修成本(DMC)预计是控制整机维修成本的关键步骤。鉴于现有方法预计精度不高,波动性大,并针对经验数据匮乏的问题,引入了一种基于AdaBoost(adaptive boosting)算法的飞机部件直接维修成本预计方法。对比分析结果表明,此方法不依赖于经验数据,可较准确地预测飞机部件的实际直接维修成本,比以往的方法在精度和稳定性上有显著提高,适用于设计阶段及维修过程中的部件维修成本预计。

飞机部件;直接维修成本;预计模型;偏最小二乘法;极端学习机;AdaBoost

民用飞机的直接维修成本是飞机经济性的重要指标,也是航空公司选型的重要依据。如何控制直接维修成本是飞机制造商在设计阶段需要考虑的重要问题。现有的控制方法主要采用逐级分配的控制方法,即将期望的直接维修成本逐级分配给各系统、子系统和部件。这种方法需要对各系统的直接维修成本进行预计,其预计精度将直接影响整个直接维修成本的分配效果。部件的直接维修成本预计作为整个预计活动的基础,其准确性和合理性将影响整个预计过程的成败。

部件DMC包括测试、修理和翻修的平均费用。当前世界上以波音和空客两大飞机制造商的方法最具代表性。波音公司将部件DMC分为计划拆卸人工时费、计划拆卸材料费、非计划拆卸人工时费和非计划拆卸材料费4个部分,预计时通过统计相似部件的这4个参数,将其相加得到最终预计结果[1];空客公司根据部件的可靠性、维修性预计直接维修成本。通过统计相似部件的平均故障间隔时间、平均车间修理费用以及平均车间测试费用等数据,得到部件DMC预计模型[2]。

以上方法易于实现,实际预计效果也较为精确,但考虑到国内大型民用飞机设计经验较少,无法获得相似部件[3],且因为国内很多部件维修都是转包到国外进行的,虽然能够收集到一些部件车间维修的总体费用,却很难具体到每个部件分别进行测试、修理或翻修的人工时和材料费用。所以在国内收集车间的部件维修详细数据还存在困难。上述波音和空客的方法都需要统计具体指定的参数,这些参数在国内并没有完全被统计或数据量不足,这使得上述方法在国内难以使用。

因此,需要考虑其他建模方法。本文考虑通过建立可获得参数与部件DMC之间的模型来完成预计,避开了国内无法获得相似部件的问题。

1 参数选择

本文通过对收集到的35组数据样本参数经过主成分分析后可得到部件DMC同时与部件价格(UP)和平均车间修理时间(MSPT)与平均非计划拆卸间隔时间(MTBUR)的比值之间具有明显的对数相关性,对相关参数取对数,就可将对数相关性转化为线性相关性,通过计算线性相关性系数可观察到这种相关性是存在的,如表1所示,表中LN(MSPT/MTBUR)代表对平均车间修理时间(MSPT)与平均非计划拆卸间隔时间(MTBUR)的比值取对数,这样就将对数相关性转化为线性关系。各样本点相关参数的对数值分布图也可以观察到这种特性,如图1和图2所示。

表1 DMC对数与所选参数对数之间线性相关性系数Tab.1 Linear correlation between logarithms of selected parameters and DMC

图1 LN(DMC)与LN(UP)间线性相关性Fig.1 Linear correlation between LN(DMC)and LN(UP)

图2 LN(DMC)与LN(MSPT/MTBUR)间线性相关性Fig.2 Linear correlation between LN(DMC)and LN(MSPT/MTBUR)

并且UP、MSPT和MTBUR在设计阶段能够获得。因此,可以根据UP、MSPT和MTBUR这3个参数按类别建立部件设计情况与DMC之间的函数关系。

2 传统建模方法及效果

2.1偏最小二模型

偏最小二乘法(PLS,partial least-squares regression)是一种常用于曲线拟合的数据统计方法。这种方法可以找到1组最佳值使得误差的平方和最小,从而得到一组最优的数据参数。它将多元线性回归、变量的主成分分析和变量间的典型相关分析结合起来,在一个算法下同时实现了回归建模、数据结构简化和两组变量间的相关分析[4]。

PLS方法具有以下特点:①对样本容量、自变量个数要求较低;②能够进行自变量的筛选;③能够消除变量间多重相关性;④自变量的信息利用率较好。

经偏最小二乘法建模步骤,建立飞机部件DMC偏最小二乘预计模型为

2.2ELM模型

极限学习机(ELM,extreme learning machine)算法是由Huang G.B.等人提出的[5-6],是一种快速的单隐层神经网络训练算法。这种算法具有在网络参数的确定过程中,隐含层的节点参数随机选取,无需调节,网络的外权是通过最小化平方损失函数得到最小二乘解的特点。ELM的隐含层权值可以任意给定,而输出层权值可以直接计算得到,因此,ELM不需要迭代训练过程。此外,ELM不仅趋向最小训练误差,而且能够使得输出层权值的范数最小,从而使ELM具有良好的泛化性能[7]。

建立了ELM预计模型:y=φ(x1,x2,x3),其中φ为输入节点为2,输出节点为1,包括3个隐含层节点的神经网络。

通过以上两种建模方法建立模型,经测试后与实际真实值间对比如图3所示,其中DMC为真实部件直接维修成本值,单位为美元/FH,是从商业数据库中获得的。

图3 两种传统建模方法预测结果与真实值对比Fig.3 Result comparison between two traditional models and true value

通过分析实验结果可以看到:①通过可获得参数建立部件DMC预计模型这种方法是可行的,从图3中可以看出这种趋近趋势;②上述两种方法建立的预计模型个别点存在较大波动,预测结果存在不确定性,可信程度一般,说明这两种方法不具有普遍性。

3 新方法的提出及效果

AdaBoost(adaptive boosting自适应增强)是由Yoav Freund和Robert Schapire提出的[8]。它的自适应在于:前一个基本分类器分错的样本会得到加强,加权后的全体样本再次被用来训练下一个基本分类器。同时,在每轮加入一个新的弱分类器,直到达到某个预定的足够小的错误率或达到预先指定的迭代次数。

3.1AdaBoost算法流程

AdaBoost的算法流程如下:

1)初始化训练数据的权值分布。每一个训练样本最开始时都被赋予相同的权值:1/N,即

2)进行多轮迭代,m表示迭代到第几轮。

a)使用具有权值分布Dm的训练数据集学习,得到基本分类器(选取让误差率最低的阈值来设计基本分类器),即

b)计算G(mx)在训练数据集上的分类误差率,即

由上述式子可知,Gm(x)在训练数据集上的误差率就是被G(mx)误分类样本的权值之和。

c)计算G(mx)的系数,即

αm表示G(mx)在最终分类器中的重要程度(目的:得到基本分类器在最终分类器中所占的权重)

由上述式子可知,em≤1/2时,αm≥0,且αm随着em的减小而增大,意味着分类误差率越小的基本分类器在最终分类器中的作用越大。

d)更新训练数据集的权值分布(目的:得到样本的新的权值分布),用于下一轮迭代,即

使得被基本分类器Gm(x)误分类样本的权值增大,而被正确分类样本的权值减小。就这样,通过这样的方式,AdaBoost方法能“重点关注”或“聚焦于”那些较难分的样本上。

其中,Zm是规范化因子,使得Dm+1成为一个概率分布,即

3)组合各个弱分类器即

从而得到最终分类器,为

经以上步骤,通过AdaBoost算法训练弱分类器ELM得到部件DMC模型。

3.2新模型效果

AdaBoost与前两种传统建模预测结果如表2所示,其中DMC为真实部件直接维修成本值,单位为美元/FH,是从商业数据库中获得的。

表2 AdaBoost与传统方法建模预测结果Tab.2 Results of two traditional models and AdaBoost

对比真实DMC与各测试点结果如图4所示。

图4 各点测试结果与真实DMC对比Fig.4 Result comparison between each test point and true DMC

计算3种方法的均方根误差(RMSE)、均方误差(MSE)、误差平方和(SSE)和平均绝对差(MAE)结果如表3所示。

表3 最终建模方法与传统方法建模效果对比Tab.3 Comparison between two traditional models and AdaBoost

实际运行中,通常均方误差在0.01以下是可以接受的,可以看出,AdaBoost预测的精度值符合要求,并且明显优于其他两种方法。

从上述预测结果可以看出:①本文提出的将AdaBoost算法应用到建立模型中取得了良好效果,测试集的精度有明显的提高。②AdaBoost算法明显比两种传统建模方法有更强的稳健性。这充分说明利用AdaBoost算法建立飞机部件直接维修成本预计模型是可行的。

4 结语

本文针对没有相似部件,没有足够数据的情况,通过引入一种新算法,结合可获得参数建立飞机部件DMC预计模型。经实际验证,此方法有以下特点:

1)算法易于理解,建模过程简单。采用Matlab编码,易于上手,对知识背景要求不高。

2)精度高,稳定性好。从预计结果来看,此方法更趋近于真实值,无明显波动,结果更具有说服力。

3)能够自动进行预计。在输入端只需要较少参数,整个预计过程中无需人工调整,使得预计活动能够自动进行。

相比于其他建模方法,AdaBoost算法的稳定性较高,泛化性较好,其对弱分类器进行训练而获得强分类器的模式可以避免建模过程中的过拟合,这是本文选取AdaBoost算法进行建模的主要原因。但是,AdaBoost算法对弱分类器的选择要求较高,不同的弱分类器精度差别较大,这会造成AdaBoost算法精度上的不足。因此本文采用极端学习机(ELM)作为弱分类器,利用了ELM在个别点精度较高,但整体稳定性不好的特点,使得最终预测模型精度达到预期效果同时稳定性、泛化性较好。

此外,此算法还可以应用于其他建模领域,具有较多应用价值。

[1]MEADOWS T A.Analysis of F/A-18 Engine Maintenance Costs Using the Boeing Dependability Cost Model[D].Monterey:Naval Postgraduate School,1994.

[2]CUTLER R.Maintenance Engineering[R].Toulouse:Airbus Industrie, 2003.

[3]吴静敏.民用飞机全寿命维修成本控制与分析关键问题研究[D].南京:南京航空航天大学,2006.

[4]张恒喜,朱家元,郭基联.军用飞机型号发展工程导论[M].北京:国防工业出版社,2004.

[5]HUANG G B,ZHU Q Y,SIEW C K.Extreme Learning Machine:A New Learning Scheme of Feedforward Neural Networks[C]//Proceedings of the 2004 IEEE International Joint Conference on Neural Networks,Budapest,Hungary,2004:985-990.

[6]HUANG G B,ZHU Q Y,SIEW C K.Extreme learning machine:Theory and applications[J].Neurocomputing,2006,70(1):489-501.

[7]钟诗胜,雷达.一种可用于航空发动机健康状态预测的动态集成极端学习机模型[J].航空动力学报,2014,29(9):2085-2090.

[8]曹莹,苗启广,刘家辰,等.AdaBoost算法研究进展与展望[J].自动化学报,2013,39(6):745-758.

(责任编辑:刘智勇)

Study on DMC estimation of aircraft components based on AdaBoost

XU Jianxin,SUN Fadong
(College of Aeronautical Engineering,CAUC,Tianjin 300300,China)

Component DMC estimation is a vital procedure in maintenance cost control.Aiming at the disadvantages of existing estimation methods such as low accuracy and large fluctuation,a new method based on AdaBoost (adaptive boosting)is introduced.Experiments show that this method does not rely on empirical data,it is obviously more accurate and stable than former ones.It is applicable to estimate the component DMC during design and maintenance process.

aircraft components;DMC;prediction model;PLS;ELM;AdaBoost

TP18;V267

A

1674-5590(2016)05-0005-04

2015-12-10;

2016-03-08

徐建新(1967—),男,江苏苏州人,教授,博士,研究方向为结构细节应力分析、复合材料结构力学.

猜你喜欢
权值分类器部件
一种融合时间权值和用户行为序列的电影推荐模型
基于朴素Bayes组合的简易集成分类器①
加工中心若干典型失效部件缺陷的改进
奥迪e-tron纯电动汽车的高电压部件(下)
基于特征选择的SVM选择性集成学习方法
基于BP与GA对非标部件结构对比研究
强规划的最小期望权值求解算法∗
程序属性的检测与程序属性的分类
基于差异性测度的遥感自适应分类器选择
基于权值动量的RBM加速学习算法研究