基于DSP+FPGA控制平台的多相PWM算法实现

2017-01-04 07:50谭光韧苑令华边茂洲相龙阳
电气传动 2016年12期
关键词:载波变频谐波

谭光韧,苑令华,边茂洲,相龙阳

(兖州东方机电有限公司,山东 邹城 273500)

基于DSP+FPGA控制平台的多相PWM算法实现

谭光韧,苑令华,边茂洲,相龙阳

(兖州东方机电有限公司,山东 邹城 273500)

针对多相变频器生成波形路数多、注入低次谐波后计算复杂等问题,提出了一种基于DSP+FPGA控制平台的多相SPWM算法,其核心部分采用等效面积法实现波形生成,能够注入幅值可控的低次谐波。将该算法应用到6相感应电机变频调速系统中,实验结果表明了该算法的可行性和优越性,具有计算速度快、精度高、可扩展性强等优势,系统性能指标达到设计要求。

数字信号处理器;现场可编程门阵列;等效面积法;多相;脉宽调制;变频调速

感应电机具有结构简单、运行可靠、成本低的突出优点。随着变频调速技术、电力电子技术的发展,解决了调速困难的问题,其启动性能、调速性能媲美同步电机。

近年来,多相感应电机及其调速系统越来越受到关注。多相变频技术具有多个优势:

1)多相系统电流由各相均分,相同相电流的多相拖动系统容量大于三相系统。三相系统中,采用多电平方式是实现高压大功率变频调速系统的主要途径;多相电机系统是与多电平平行的另一种蹊径。在保持电机功率和相电流不变的情况下,所需供电电压随着相数的增加而下降[1],避免了功率开关器件的串联带来的静态、动态均压问题。

2)多相变频系统具有冗余特性[1],在一相故障时,可以降容运行。一定程度上弥补了变频器引入的故障可能。

3)相比于三相感应电机,多相感应电机具有一些固有优点如:可用低次谐波电流增强电磁转矩,增大输出功率;由于相数增多,输出转矩脉动减小[2]、脉动频率增加,震动和噪音会减小;电机设计上可采用较高的短距系数、分布系数等。

正弦脉宽调制(SPWM)波的产生与控制是变频器的核心技术之一,正弦脉宽调制逆变器具有结构简单、电网功率因数与逆变输出电压无关、转矩脉动小、动态响应好、能够有效抑制低次谐波、输出电压波形接近正弦波、系统调速范围宽等优点。

通常,生成SPWM波形的方法一般有4种:自然采样法、规则采样法、低次谐波消去法和直接面积等效法[3]。在单个控制器平台的条件下生成多相SPWM,会造成电路设计复杂、精度差,同时会受到硬件计算速度的影响,无法兼顾运算的速度和精度等问题。

相比另外几种算法,直接等效面积法具有精度高、输出电压波形接近正弦波程度高、谐波损耗小等特点;另外,其开关点实时计算并不复杂,无需求解比较复杂的方程,使得算法更容易实现。

多相变频器相数不止三相,其输出波形除基波外还包括可控的3次谐波,故其所需控制资源更多,传统控制平台难以满足控制要求。随着数字信号处理(DSP)技术和可编程逻辑门电路(FPGA)的发展应用,智能控制技术逐渐运用于多相电机驱动系统中,借助数字处理器芯片可以实现复杂的多相电机控制方法[4]。

本文针对上述存在问题提出了基于DSP+ FPGA控制平台的多相SPWM波形控制及实现方法。直接面积等效法在DSP+FPGA控制平台的应用可以充分利用FPGA的逻辑控制能力和DSP的数据处理能力,以便于实现复杂的控制算法和提高控制精度,对于多相SPWM波形的生成具有重要意义。

1 直接面积等效法原理

在采样控制理论中有一个重要的结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量即指窄脉冲的面积。正弦脉宽调制(SPWM)的基本原理就是按面积相等的原则,构成与正弦等效的一系列等幅不等宽的矩形脉冲波形。

如图1所示,把1个正弦波正半周分为N等份,然后每一等份的正弦曲线与横轴包围的面积都用1个与此面积相等的等高矩形脉冲代替。矩形脉冲的中点与正弦波每一等份的中点重合,这样由N个等幅不等宽的矩形脉冲所构成的波形就与正弦波等效,正弦波的负半周也可用同样的方法等效[5]。

图1 等效面积法原理Fig.1 Principle of equivalent area method

2 注入3次谐波的等效面积法实现

对于多相变频器及多相感应电机,正弦波不再是最优驱动波形。通过注入低次谐波,能够有效提高铁磁材料利用率,提高电磁转矩。因为谐波次数越高,对提高电磁功率的贡献越低,所需的程序控制越复杂,故不考虑5次及以上谐波,只考虑3次谐波。谐波注入后,在电机齿、轭不饱和的情况下应使波形面积尽可能大,以提高直流母线利用率,故3次谐波与基波应保持峰谷相对,3次谐波幅值应小于基波幅值的1/6。

以基波叠加3次谐波后的波形为调制波,利用等效面积方法调制原理生成波形。以第1相为例,介绍波形生成方法。

第1相基波正弦函数表达式为

注入3次谐波后表达式为

根据等效面积法原理,此时等效脉冲的宽度计算为

如图1b所示,注入3次谐波时,SPWM波形面积为

由S1=S2,则:

式中:M为令调制比,M=Um/Us;k为第k次取样;N为半个周期对正弦波采样次数;Um1为注入3次谐波幅值。

IGBT开关时间计算如下:开启时刻ton= (Δt-δi)/2;关断时刻toff=(Δt+δi)/2。

至此,第1相波形生成完毕。假设n相系统中,其他相与第1相分别相差α,2α,…,(n-1)α电角度,只需以ωt+α,ωt+2α,…,ωt+(n-1)α代替ωt,依次进行上述计算,确定相应的脉冲宽度及导通关断时刻即可。

3 DSP+FPGA控制系统结构

3.1 变频器拓扑结构设计

变频器及电机样机设计为6相,变频器由6个单相全桥组成,每相为1个单相全桥,对应电机一相。电机各相绕组首尾端均引出,与相应H桥输出相接,如图2所示。

图2 变频器拓扑结构图Fig.2 Topolopy of the frequency converter

3.2 变频器硬件设计

基于DSP+FPGA控制平台的多相SPWM控制算法的变频调速系统的结构框图如图3所示。

该控制平台包括2个CPU,分别是DSP和FPGA。其中DSP为主CPU,FPGA为辅助CPU。DSP和FPGA之间通过并行总线传输数据,FPGA被映射为DSP的存储区。DSP的DMA模块连续不停地读取FPGA内存储的数据。由于DSP需要从FPGA读取大量数据,而且要求具有较高的速度,DMA模块独立于CPU运行,可以很好地完成这一任务。而DSP往FPGA写数据,则可以通过CPU或者DMA模块完成。

图3 系统硬件框图Fig.3 The system hardware block diagram

3.3 DSP软件设计

DSP控制器负责基于直接面积等效法的SPWM波形生成、与触摸屏通讯、人机交互等功能,并负责整个系统的流程控制。DSP软件设计采用C语言进行程序编写,采用自顶向下的设计思想。系统控制程序由主程序和中断服务子程序组成,由主程序进行初始化,给定输入参数。DSP以中断的方式,定时计算下一载波周期的波形参数,并根据计算结果,调整下次触发中断的时间。

系统初始化时,设定中断触发时间为异步调制下的载波周期。首次中断程序运行,以初始化的输入参数进行波形参数计算,除计算载波周期、脉冲宽度、正负半周标志位外,还将中断触发时间更改为计算所得的载波周期、将当前角度从0更新为1个载波周期之后的角度值。

此后每次中断程序运行过程如下:

1)根据给定频率判断选择同步调制或异步调制,如果是异步调制,载波周期是固定值,如果是同步调制还须根据频率的不同确定不同的载波比,进而确定不同的载波周期;

2)根据给定频率、载波周期,确定下一载波周期内的角度变化;

3)根据角度变化、设定的基波幅值、3次谐波幅值等参数计算第1相脉冲宽度为

4)同理计算其它5相脉冲宽度;

5)根据各相基波瞬时值给出各相正负半周标志位;

6)设置数据更新位,便于FPGA更新数据;

7)将中断触发时间更改为计算所得的载波周期,将当前角度更新为载波周期之后的角度值,便于下次计算。

在主程序中将通过中断程序计算的载波周期、脉冲宽度、标志位等结果送入数据交换区供FPGA读取。

3.4 FPGA软件设计

FPGA作为DSP的1个执行单元,接收DSP计算出控制IGBT所需的波形参数并产生PWM脉冲。FPGA软件设计采用Verilog语言进行程序的编写,DSP及FPGA软件总体框图如图4所示。

图4 DSP及FPGA软件整体框图Fig.4 The system software block diagram of DSP and FPGA

根据FPGA要实现的功能将FPGA程序划分了与DSP通讯、SPWM产生、运行数据采集及处理、保护功能等模块。SPWM产生模块主要实现方式如下。

1)将读入的脉冲宽度、正负半周标志位等数据进行FIFO缓冲处理,以消除运算延迟、通讯延迟等因素引起的时间偏差。具体来说,当数据更新位变化时,将新数据写入堆栈;当1个载波周期结束时,读出数据,根据新数据中载波周期的长度开始新的载波周期计时,如此往复。

2)根据读取指针所处的位置,对载波周期的值进行μs级的微调,防止堆栈读空或写满。具体来说,当堆栈临近读空时,增大载波周期的值,减缓读取速度;当堆栈临近写满时,减小载波周期的值,加快读取速度。

3)读取数据后,根据ton=(Δt-δi)/2和toff=(Δt+δi)/2,再结合死区时间等因素,确定开通、关断时间。

4)根据正负半周标志位选择H桥中导通的IGBT,具体选择方式与IGBT驱动方式有关,不再赘述。

至此,波形生成完毕。程序持续运行,直至停机。

4 算法验证

4.1 仿真验证

在系统仿真软件中,按照图2所示搭建变频器主电路,以电阻代替电机绕组作为负载,方便观察电压波形。

设置频率为40 Hz,载波比为72,同步调制,注入谐波幅值为基波的10%。仿真所得一相电压输出波形如图5所示。可见,每周期输出波形由72个脉冲组成,正负半周严格对称。

图5 仿真电压输出波形Fig.5 The simulation waveform of output voltage

对输出电压波形进行傅里叶分解,分析其谐波含量,结果见图6。电压基波幅值为413.9 V,3次谐波幅值为41.3 V,为基波幅值的10%,符合设计预期。除此之外,其他低次谐波幅值很小,图中未能示出,在频率为4 kHz,8 kHz处有高次谐波存在,因其频率高,对电机不良影响有限,可以忽略。

图6 仿真电压波形谐波含量Fig.6 The simulation of harmonic content of the voltage waveform

4.2 弱电测试

按照图7所示搭建测试电路。

图7 测试电路Fig.7 Test circuit

将SPWM信号引至逻辑分析仪,测试不同频率(10 Hz,20 Hz,30 Hz,40 Hz,50 Hz)下的波形参数均符合预期。图8为40 Hz波形,载波比72,3次谐波幅值为基波幅值的10%,与图5所示仿真波形一致。

图8 40 Hz输出电压波形Fig.8 The output voltage waveform of 40 Hz

周期测量结果如表1所示,40 Hz下周期偏差低至1 μs。

表1 理论与实际值偏差Tab.1 Deviation value between the theory and practice value

4.3 整机测试与分析

按照上述方法设计并制造了变频器样机,电机样机为4极6相,55 kW。

试验平台样机与陪试电机对接,背靠背互拖,陪试电机由ABB变频器拖动。试验中,使用电能质量分析仪测量变频器输入端的电压、电流和功率,用扭矩仪测量电机输出转矩及转速,用示波器测量变频器输出电压、电流波形。

经测试,由本文方法生成3次谐波的6相SPWM波形能够驱动电机正常运转并加载负载后电机电压电流波形均符合预期。如图9所示,运行频率为50 Hz;载波比为72;3次谐波幅值为基波的10%。不同于仿真波形,在设置4 ms的死区时间后,波形峰值处出现较宽平顶。可见,谐波注入后,电流波形也趋于平顶。

图9 电压、电流测试波形Fig.9 The test waveform of voltage and current

5 结论

本文设计了一种基于DSP+FPGA控制平台的多相、低次谐波注入的SPWM算法。实验结果表明,该方案充分利用了DSP和FPGA各自的优点,能够很好地完成多相SPWM的控制算法,简化了系统的结构、降低了成本,提高了计算速度和精度。同时DSP+FPGA控制系统结构具有良好的应用扩展性,在将来的变频调速系统中会有很好的应用前景。

[1]刘东.大功率多相感应电机变频调速系统的研究[D].杭州:浙江大学,2011:1-10.

[2]庄朝晖,熊有伦,马挺.多相感应电机变频调速系统——回顾、现状及展望[J].电气传动,2001,31(2):3-7.

[3]刘小河,臧健.基于DSP的SPWM直接面积等效算法的分析与实现[J].现代电子技术,2003,26(3):53-55.

[4]张婉婕.九相异步电动机的非正弦驱动仿真试验[J].机电工程,2012,29(6):678-681.

[5]福金,钱昱明.直接采用面积等效法生成SPWM波的变频调速系统[J].电气传动,1995,25(4):13-18.

[6]陈伯时.电力拖动自动控制系统[M].北京:机械工业出版社,2010.

Multiphase PWM Algorithm Implementation Based on DSP+FPGA Control Platform

TAN Guangren,YUAN Linghua,BIAN Maozhou,XIANG Longyang
(Yanzhou Oriental Mechanical and Electrical Co.,Ltd.,Zoucheng273500,Shandong,China)

With the problems of too many waveforms of multiphase inverter and complicated calculation when considering the low harmonics and so on,presented a kind of multiphase SPWM algorithm based on DSP+FPGA control platform,the core part adopted the equivalent area method to realize the generation of waveforms,and could inject the amplitude controllable low harmonics.Applying the algorithm to the six phase induction motor variable frequency speed control system,the experiment results show the feasibility and superiority of the proposed algorithm,and the advantages of fast calculation speed,high precision and strong scalability,the system performance indicators achieve the design requirements.

digital signal processor;field programmable gata array;the equivalent area method;multiphase;pulse width modulation;variable frequency speed

TM921.51

A

10.19457/j.1001-2095.20161205

2015-09-24

修改稿日期:2016-06-15

谭光韧(1982-),男,工程师,Email:349732528@qq.com

猜你喜欢
载波变频谐波
水声单载波扩频均衡技术研究
全封闭紧凑型变频调速电机设计
大型变频调速异步电机的设计
用于SAR与通信一体化系统的滤波器组多载波波形
SFC谐波滤波器的设计及应用
自适应的谐波检测算法在PQFS特定次谐波治理中的应用
基于变频式的宽输入开关电源设计研究
低压台区载波抄表技术研究
枢纽牵引供电系统谐波问题探讨
基于泛正态阻抗云的谐波发射水平估计