基于FPGA的双馈风力发电机励磁变流器实时模拟

2015-03-02 05:22吴金城范晓旭朱博文
现代电力 2015年1期
关键词:风力发电

于 芳,田 涛,吴金城,范晓旭,朱博文

(1.华北电力大学控制与计算机工程学院,北京 102206;2.龙源电力集团股份有限公司,北京 100034)

Real-time Simulation of Excitation Converter for Doubly-fed Induction Generator Based on FPGAYU Fang1, TIAN Tao1, WU Jincheng2, FAN Xiaoxu2, ZHU Bowen1

(1. School of Control and Computer Engineering, North China Electric Power University, Beijing 102206, China;

2. China Longyuan Power Group Corporation Limited, Beijing 100034, China)



基于FPGA的双馈风力发电机励磁变流器实时模拟

于芳1,田涛1,吴金城2,范晓旭2,朱博文1

(1.华北电力大学控制与计算机工程学院,北京102206;2.龙源电力集团股份有限公司,北京100034)

0引言

变流环节作为兆瓦级变速恒频风力发电系统的核心部分,其性能好坏直接关乎机组发电质量、运行安全及电网运行安全。研究励磁变流器对于推动我国风力发电事业的发展、增强风力发电领域的自主创新能力都具有十分重要的意义[1-2]。

以仿真手段进行变流器的研究可以克服实物实验研究成本高,周期长的固有缺陷,但电力电子元件仿真所要求的仿真步长小、数据精度高,受限于计算机的处理速度,对变流器的仿真一般为非实时仿真,适用于大多数的分析研究。而实时仿真的意义在于可通过将实时仿真部分与其他实物对象及实际控制器相连接的方式测试不同控制策略的实际效果。FPGA仿真具有高速稳定的优点,文献[3-5]为FPGA仿真在不同研究内容中的应用。

本文在LabVIEW开发环境下通过FPGA模块将双侧变流器模型及控制系统全部下放至FPGA实现,使其可实时地模拟真实变流器的运行特性。

1双PWM变流器动态模型及控制策略

1.1双侧变流器动态模型

双PWM型变流器主电路如图1所示。R1、L1为网侧进线电抗器等效电阻及电感;C为直流环节储能电容;L2、R2电机转子绕组漏感及等效电阻。转子侧变流器与网侧变流器通过直流环节连接并进行能量交换。

图1 双PWM型变流器主电路图

网侧及转子侧变流器的动态模型相对独立,根据电路原理可建立三相静止坐标系下的状态方程[6-7],经坐标变换得到d-q同步旋转坐标下的描述[8]。

(1)

(2)

(3)

式中:id1、iq1、id2、iq2为网侧及转子侧变流器交流侧电流d、q分量;ud、uq、ed、eq为电网电压及转子电压d、q分量;Sd1、Sq1、Sd2、Sq2为网侧及转子侧变流器开关信号d、q分量;ω1及ω2为与定向方式相关的角速度;Udc为直流母线电压;iload为网侧变流器负载电流。

1.2双侧变流器控制策略

组成双PWM型变流器的网侧变流器和转子侧变流器任务相对独立。网侧变流器采用电网电压定向下电压外环-电流内环的双闭环控制结构[9-10],实现直流母线的电压稳定和交流侧的单位功率因数运行。转子侧采用定子磁链定向下的双闭环控制策略[11-12]:并网前电压外环-电流内环结构,使定子电压跟踪电网电压;并网后功率外环-电流内环结构,实现电机有功和无功功率的解耦控制。

图2为双PWM型变流器控制系统结构示意。

图2 双PWM型变流器控制系统信号流向图

控制系统不断由系统当前的状态通过控制策略得到参考值,通过空间矢量脉宽调制(space vector pulse width modulation,SVPWM)得到开关动作改变下一刻变流器的状态。

2双PWM变流器的FPGA实现

2.1FPGA程序编写

为了真实地模拟变流器的动态特性,仿真步长要足够小,设为1μs。一般的仿真手段无法在如此短的时间里进行一次状态方程求解及控制算法的求解。FPGA处理能力强大,算法可在单个FPGA时钟周期(25ns)内执行完成,可为闭环控制系统提供速率超过1MHz的运算能力[13]。运用LabVIEW FPGA模块创建FPGA程序,程序框图如图3所示。

图3为FPGA程序框图,在实时状态下程序1ms循环一次,程序框图左上角的“1000”表示在1ms内框内程序循环执行1 000次,即仿真步长为1μs。上半部分的SVPWM子程序块及转子侧模型子程序块属于转子侧变流器部分,下半部分的 SVPWM子程序块、网侧模型子程序块及control子程序块属于网侧变流器部分。

2.1.1各子程序块功能

网侧模型子程序块及转子侧模型子程序块分别进行式(1)及式(2)的构造及龙格库塔求解,以状态方程的形式分别构造了图1所示的双侧变流器模型。由式(3),两侧变流器通过直流环节连接,网侧提供给转子侧的Udc波动会对转子侧变流器产生影响,而转子侧反馈给网侧的负载电流iload波动则可视为对网侧变流器的扰动,两侧变流器便如此连接起来,图3中Udc标签处连线为网侧模型子程序块将直流电压输出至转子侧模型子程序块,而iload标签处连线为转子侧模型子程序块将负载电流通过下一循环周期送至网侧模型子程序块。网侧、转子侧模型子程序块对应图2所示系统的右边模型部分。

图3 FPGA程序框图

control子程序块内容为网侧变流器的控制策略,而转子侧的控制策略由电机部分程序负责,因此该FPGA程序中并没有转子侧的控制模块。control子程序块及SVPWM子程序块对应图2所示系统的左边控制部分。

2.1.2程序执行顺序

网侧变流器和转子侧变流器可以理解为并行运行,各自相对独立,只通过Udc和iload互相关联影响。

转子侧变流器部分:外部电机部分程序给出的转子侧控制量经过SVPWM子程序块进行调制,得到开关状态,送入转子侧模型子程序块,求解出转子电压,输出至框外电机部分的程序。

网侧变流器部分:由control子程序块得到的控制量经过SVPWM子程序块进行调制,得到开关状态,送入网侧模型子程序块,求解出下一时刻的状态。程序不断循环执行便可模拟变流器的运行情况。此FPGA程序经调试编译后,便可下放至FPGA板卡执行。

2.2系统结构及通信

2.2.1系统结构

包括风机、电机程序及本篇论文讨论的FPGA变流器程序在内的整个仿真系统的硬件平台由主控计算机、上位机(PXI机箱)及下位机(FPGA板卡)3部分组成,其中FPGA板卡被安插在PXI机箱中。图4所示系统结构中,主控计算机负责实时监控及指令下达,风机及电机程序被部署在PXI机箱中的CPU执行,而变流器程序则放在PXI机箱中的FPGA板卡执行。

图4 仿真系统结构

2.2.2系统通信方式

PXI机箱内的FPGA板卡通过机箱内的系统总线与CPU进行通信,FPGA板卡接收CPU内风机电机部分的运行状态信息,并将变流器运算结果返回至CPU,通信技术采用直接内存存取(direct memory access,DMA)模式实现两者之间大量数据的实时传输。

PXI机箱与主控计算机之间通过以太网进行通信,PXI将仿真程序运行结果通过以太网口实时传送给主控计算机进行显示,主控机则可以进行仿真结果的显示等工作。

整体系统依靠处于实时状态的PXI机箱和FPGA的高速运算能力严格保证了仿真的实时性。基于硬件的实现使整体系统的稳定性得到增强。

3仿真运行

3.1仿真内容

在所实现实时平台上进行1.5MW双馈风力机组柔性并网过程及并网后运行的一次全程实时模拟,主要分析在此过程中,励磁变流器部分的运行特性及对电机的控制。

3.2仿真结果及分析

仿真参数:

风力机:切入风速3m/s,额定风速10m/s,风轮同步转速15r/min、额定转速17.4r/min。

双馈电机:额定功率1.5MW,定子绕组等效电感0.014 22H,转子绕组等效电感0.014 8H,定转子等效互感0.014 1H,定子绕组等效电阻0.031 9,转子绕组等效电阻0.002 85。

双PWM变流器:开关频率2 000Hz,直流电容0.015F,进线电抗器电感9mH,进线电抗器电阻0.1。

3.2.1柔性并网过程

初始风速6m/s,风机启动,在约52.38s电机达启动转速,变流器控制电机快速跟踪电网电压。图5为并网阶段定子电压。

图5 并网阶段定子电压

3.2.2并网运行过程

并网后,电机在变流器控制下追踪最大风能,由于风速较低,电机始终运行在亚同步状态。54.50s开始风速逐渐上升,电机经历由亚同步到同步再到超同步运行的过渡。图6为此过渡过程中转子侧变流器运行情况。

图6 转子侧变流器过渡过程运行情况

图6(a)所示,在56.30s附近电机达同步转速,变流器对电机进行直流励磁。图6(c)为单相转子电流电压波形,同步转速点左右转子电压与电流之间相位关系发生变化。

图7 网侧变流器过渡过程运行情况

图7为过渡过程中网侧变流器运行情况。如图7(a)所示,随能量流动方向逆转,负载电流在同步转速点附近由正变负,网侧电流电压相位关系如图7(b)、(c)所示变化。

图8 电机功率曲线

图8为全程电机功率曲线。阶段1,电机启动,并网瞬间的冲击电流导致电机功率波动,有功功率逐渐增大。阶段2,风速增大,电机有功功率继续上升。风速最终上升至11.4m/s,机组在61.00s左右达到额定功率并由风机控制策略控制桨距角动作来限制发电功率保持在额定功率附近。此过程对应图中的阶段3,发电功率曲线基本呈一横线,发电机保持恒功率运行。

图9 变流器有功功率曲线

图9为全程变流器有功功率曲线,网侧与转子侧变流器交流侧有功功率P1、P2几乎重叠在一起。当曲线为正,P1>P2;当曲线为负,|P2|>|P1|。不等式关系表示线路损耗造成有功功率细微损失。

上述仿真结果与实际变流器运行特性相符,且说明电机受到了变流器的有效控制。

4结束语

考虑到实时仿真在风力发电研究中的意义,本文在对变流器模型及控制策略研究的基础上尝试了双馈电机励磁变流器的FPGA实现并进行了模拟仿真运行。通过对仿真结果中变流器部分运行特性及对电机控制效果的分析验证了此硬件实现的合理性。另外,FPGA的可重复配置性使其可适应多变的研究需求,此实现具有实际应用意义。

参考文献

[1]任永峰,安中全,等.双馈式风力发电机组柔性并网运行与控制[M].北京:机械工业出版社,2011.

[2]孙秋霞,李伟力,程鹏.电网波动下双馈风力发电励磁系统的仿真分析[J].现代电力,2006,23(4):29-34.

[3]曾伟.自适应滤波算法及其FPGA仿真[D].南昌:南昌航空大学,2011.

[4]董应平.IIR数字滤波器优化设计及FPGA仿真验证[D].成都:西南交通大学,2009.

[5]张天瑜.欧几里德算法的RS译码研究及FPGA仿真[J].武汉理工大学学报,2010,32(2):84-89.

[6]张兴,张崇巍.PWM整流器及其控制[M].北京:机械工业出版社,2012.

[7]孙成祥,晁勤.双馈风力发电机有功、无功解耦控制研究与仿真[J].华东电力,2009 (5):809-811.

[8]蒋桂强.背靠背电压源型变流器的控制器设计及性能分析[D].吉林:东北电力大学,2009.

[9]赵仁德,贺益康,黄科元等.变速恒频风力发电机用交流励磁电源的研究[J].电工技术学报,2004,19(6): 1-6.

[10]刘其辉.变速恒频风力发电系统运行与控制研究[D].杭州:浙江大学,2005.

[11]杨淑英.双馈型风力发电变流器及其控制[D].合肥: 合肥工业大学,2007.

[12]刘其辉,贺益康,张建华.交流励磁变速恒频风力发电机的运行控制及建模仿真[J].中国电机工程学报, 2006,26(5):43-50.

[13]Pan S,Pan J,Tian Z. A shifted SVPWM method to control DC-link resonant inverters and its FPGA realization[J].IEEE Transactions on Industrial Electronics,2012,59(9):3383-3391.

于芳(1990-),女,硕士研究生,研究方向为先进控制技术在发电过程中的应用,E-mail:yufang@ncepu.edu.cn;

田涛(1968-),男,博士,副教授,研究方向为先进控制技术在发电过程中的应用,E-mail:tt@ncepu.edu.cn.

(责任编辑:林海文)

Real-time Simulation of Excitation Converter for Doubly-fed Induction Generator Based on FPGAYU Fang1, TIAN Tao1, WU Jincheng2, FAN Xiaoxu2, ZHU Bowen1

(1. School of Control and Computer Engineering, North China Electric Power University, Beijing 102206, China;

2. China Longyuan Power Group Corporation Limited, Beijing 100034, China)

摘要:由于电力电子元件仿真在仿真步长及计算精度等方面的高要求,普通的平台很难进行变流器的实时仿真。针对这一问题,本文以双馈发电机(doubly-fed induction generator, DFIG)励磁用双脉宽调制(pulse width modulation,PWM)变流器为研究对象,在现场可编程门阵列(field-programmable gate array,FPGA)上进行了变流器模型及其控制系统的硬件实时模拟。将此FPGA程序与电机部分及风机部分程序相连接,实时模拟了一次双馈电机并网及并网后运行的连续过程,通过对此过程中励磁变流器的运行特性分析验证了此FPGA实现的合理性及正确性。

关键词:风力发电;交流励磁;双脉宽调制变流器;现场可编程门阵列

Abstract:Real-time simulation of power electronic components is hard to be conducted on common simulation platform due to the high requirement of the simulation step size and the calculation accuracy. Taking doubly-fed induction generator (DFIG) with excitation realized by dual-PWM converter as research object, the hardware real-time simulation on converter model and its control system are conducted on field-programmable gate array (FPGA). Then the FPGA program is connected to the simulation program of wind turbine and DFIG, and a continuous process before and after the grid with the connection of DFIG is simulated in real time. The rationality and correctness of the FPGA implementation are verified by the analysis of the dynamic operation performance of the converter in the simulation process.

Keywords:wind power generation; AC excitation; dual-PWM converter; field-programmable gate array (FPGA)

作者简介:

收稿日期:2014-04-23

文章编号:1007-2322(2015)01-0059-05

文献标志码:A

中图分类号:TM41;TM47

猜你喜欢
风力发电
关于“弃风”现象研究的文献综述
农用风力发电机叶片设计
风力发电系统故障诊断技术浅析
风力发电机组的电气控制
电池储能技术在风力发电系统中的应用
呼伦贝尔风电场对草原生态环境潜在影响初探
国外新能源发电技术开发及应用的方式对我国的启迪
风力发电机组电气与控制系统快速检修思路探索