“数字电路实验”教学中的EDA方法

2020-05-12 01:06吴华怡
教育教学论坛 2020年17期
关键词:仿真

[摘 要] 在数字电路实验教学中,采用EDA方法可以最大限度地降低成本、节省时间、增加可靠性,提高了学生对数字电路的分析与设计能力。

[關键词] EDA;仿真;可编程器件

[基金项目] 2018年度北京科技大学校级教改项目“专业导向的‘电工技术实验嵌入式翻转课堂研究”(JG2018M26);2018年度北京科技大学校级教改项目“电工技术MOOCS课程建设”(JG2018ZZ02);2018年度北京科技大学校级教改项目“新工科背景下混合式教学在电工电子技术课程中的应用探索”(JG2018M28);2019年度北京科技大学校级教改项目“促进立体化教学与传统课 堂教学的有机融合,培养创新型工程人才”(JG2019M25)

[作者简介] 吴华怡(1979年—),女,江西大余人,博士,北京科技大学自动化学院讲师,主要从事无线网络、智能控制研究。

[中图分类号] G642.0    [文献标识码] A    [文章编号] 1674-9324(2020)17-0254-02    [收稿日期] 2019-12-25

随着半导体技术的发展,在设计一个数字系统时,不仅要求简化设计过程,还要求降低系统的体积和成本,提高系统的可靠性。EDA(Electronic Design Automation,电子设计自动化)技术是电子信息技术发展的杰出成果,它的发展与应用引发了一场工业设计和制造领域的革命。EDA技术是以计算机硬件和系统软件为基本工作平台,利用计算机进行设计、分析、仿真、制造等工作,最大限度地降低成本、节省时间、提高可靠性。EDA技术的发展是和可编程逻辑器件(PLD)分不开的。PLD虽然是一种通用器件,但它的逻辑功能是由用户通过对器件编程来设定的,而且有些PLD的集成度很高,足以满足设计一般数字系统的需要。本文以一个四舍五入判别电路实验为例,分别采用传统的设计方法和EDA设计方法来实现,通过两种设计方法的对比,可以看出采用EDA方法在数字电路分析与设计中的优越性。

一、传统的实验设计方法

传统的实验设计方法是用实际的分立器件搭设电路,只能通过实际操作给出每种输入状态,来观测输出状态,验证逻辑关系的正确性。

四舍五入判别电路的设计步骤为:(1)先进行逻辑抽象;(2)写出逻辑函数式,(3)然后利用卡诺图(图1)化简得出最简约的方式或逻辑表达式:F=A+BC+BD;(4)根据逻辑表达式画出逻辑电路图(图2);(5)根据逻辑电路图搭建实际的实验电路,来验证逻辑的正确性。

二、EDA设计过程

EDA设计方法的特点是借助计算机软件的辅助设计和硬件的辅助,来完成需要的逻辑功能以及实现用户所需求的电路和系统。可编程逻辑器件(PLD)作为VLSI器件的一个重要分支,是一种由用户配置,借助计算机软件和硬件的辅助,以完成某种逻辑功能及实现用户需求的电路和系统的器件。它具有现场编程的特点,用户只需编程就能确定PLD器件所执行的功能,可灵活地编程以实现各种逻辑功能,是构成数字系统的理想器件。PLD器件的方便之处在于它能定制成几乎任何一个通用的标准逻辑器件,并提供广泛的速度和功耗选择范围。多样化结构使它易于构成所需的功能块。更重要的是PLD器件是可修改和反复使用的器件,这为电路和系统的设计带来了极大的方便,而且具有仿真功能,能够验证逻辑关系的正确性。EDA方法流程图见图3。

1.设计的输入:作为EDA的设计过程,设计的输入常用的方法有两种:原理图输入法和文本输入法。

(1)原理图输入法。和传统的设计方法一样,采用原理图输入法,首先要根据卡诺图得出简化的逻辑表达式,然后在元件库中找出相应的元件,同时加入输入和输出端,这样原理图的输入就完成了(图4)。通过编译,软件会根据连接规则来自动检测原理图有无连接错误。

(2)文本输入法。采用了流行的VHDL语言来编写。文本程序由三部分组成。第一部分是USE定义区,包含了使用的库文件。第二部分是实体,定义了输入输出变量及其数据类型。第三部分是结构体,说明了输入和输出的关系。进行编译的过程中,软件会检测程序有无语法上的错误。

通过两种输入方法的比较,可以看出采用文本输入的方法是相对简单的。因为对于所要设计的数字电路来说,都会有一个明确的输入和输出之间的逻辑关系,文本所采用的输入方法就是对这种逻辑关系的描述。这种方法更符合我们的思维,从而不必再像以前那样,花大量的时间去进行逻辑化简的工作了,这也正是VHDL在硬件的设计上被广泛采用的原因。

2.仿真。编译通过后,就可以进行仿真,来验证逻辑上的正确性。仿真结果见图5。

从仿真的结果上来看,输出有延时,这是因为在仿真前选择了编程芯片,通过软件的延时分析,可以看出输出的延时为15ns,这种延时输出更符合实际的情况,可以让我们在设计的初期就可以对实际电路可能出现的情况有了一个了解。

软件的仿真功能为电路的设计节约了大量时间,通过仿真可以验证所设计电路的逻辑功能正确与否。而传统的设计方法,必须要先搭建电路才能进行逻辑功能的验证。仿真功能让设计者在设计初期就可以发现逻辑设计上可能出现的错误,而不需要任何实际的元件。

3.程序下载。仿真结果正确之后,经过管脚定位形成标准熔丝文件,就可以下载到所选的编程芯片中,连接相应的外围电路,进行电路的实际测试。在数字电路的实验教学中,通常在仿真正确的情况下,实际电路的测试基本上也都是正确的。测试正确后,一个实验就完成了。

三、结束语

通过实验比较,EDA方法不仅可以仿真电路的工作情况,在搭建实际电路之前就可以消除设计中的错误,而且可以在数字电路实验教学中充当虚拟实验平台,将电子实验搬到计算机屏幕上来做。

EDA技术的发展是电子设计领域的一场革命,学习和掌握这一先进技术已成为广大电子工程技术人员的迫切需要。采用EDA设计方法为电路和系统的设计带来了极大的方便,EDA方法不仅可以用来替代传统的标准逻辑组合电路设计方法,而且还能够实现复杂的接口和控制功能,具有广泛的应用范围。

参考文献

[1]阎石.数字电子技术基础[N].北京:高等教育出版社,2006.

[2]王维斌.基于CPLD与VHDL语言的数字钟设计[J].电子技术,2017,9.

[3]潘松,黄继业.EDA技术实用教程:VHDL版[N].科学出版社,2018,6.

Abstract:In digital experiment we can reduce cost,save time and enhance reliability with EDA method. Students can improve their analyse and design abilities.

Key words:EDA;Simulation;PLD

猜你喜欢
仿真
Proteus仿真软件在单片机原理及应用课程教学中的应用
一种帮助幼儿车内脱险应急装置的仿真分析
论虚拟仿真实训系统在口腔实验教学中的应用