传统光电编码器防震动抗干扰电路的优化

2015-05-28 10:46刘海权宋立业
电气技术 2015年12期
关键词:触发器震动编码器

刘海权 田 露 宋立业

(1.国网葫芦岛市郊区供电分公司,辽宁 葫芦岛 125100; 2.辽宁技术工程大学,辽宁 葫芦岛 125100)

光电编码器是一种通过光电转换将输出轴上的机械几何位移转换为脉冲数字量或模拟量的传感器。由于其重量轻,体积小,转换精度高等优势,已广泛应用于各种环境复杂的工业场合。在各种复杂的环境下工作会有很多干扰。对此相关文献[1]中提出了解决方法,并且在一般情况下也得到了不错的效果。但是笔者通过分析发现其运用场合存在局限性。本文重新分析了光电编码器误计数产生的原因,并指出了传统抗干扰电路的缺陷,最后提出了优化解决方案。

1 光电编码器工作原理

图1为光电编码器工作原理图,码盘旋转时, A、B 两相输出相位相差90°的方波。

图1 光电编码器波形产生原理

图2为传统光电编码器计数脉冲产生原理,其原理就是一个D 触发器。工作波形如图3所示。

图2 传统光电编码器计数脉冲产生原理

图3 光电编码器工作波形[1]

2 误计数原因分析

干扰脉冲千变万化,大致可分为两类:由于机械震动产生的有一定规律的干扰;由于电磁干扰产生的无规律干扰。导致误计数的根本原因就是A,B两相产生了非正常的脉冲。

首先分析机械震动情况下A,B 两相产生的异常波形。现以正向计数为例:当编码器发生机械震动时,其中一相反复产生脉冲,而另一相则始终没有脉冲。其仿真波形如图4所示。

图4 机械震动情况下A,B 两相波形

在机械震动情况下,结合计数器原理分析可知:每一次震动脉冲都会在正向计数产生一个计数脉冲。但是实际情况应该视为码盘没有发生几何位移,即不应该产生计数脉冲。这种情况下就发生了误计数,导致测到的数据大于实际的数据。

再分析电磁干扰产生的异常波形。电磁干扰产生的脉冲没有任何规律,现取6 种典型干扰为例。其中干扰1 是在A 相脉冲之前小段时间内产生的干扰,干扰4 是在A 相脉冲的过程中产生的干扰,难以区分。同理,干扰3 是在A 相脉冲之后产生的干扰,干扰6 是在A 相脉冲快要结束的时候产生的干扰,难以区分。干扰2 在A 相高电平期间产生干扰。干扰5 在A 相低电平期间产生干扰。如图5所示。

图5 电磁干扰下A,B 两相波形

结合D 触发器工作原理分析:在编码器进行正向计数时,由于A 相超前B 相90°,所以每次B相上升沿到来之后Q=1,正向计数脉冲=A∩Q。即正向计数时计数脉冲跟随A 相脉冲。电磁干扰在A相产生的非正常脉冲直接导致误计数的发生。

3 传统防震动抗干扰电路的分析

由以上产生误计数的原因分析可知,产生误计数的原因在于计数脉冲的产生条件很容易满足,且当误计数脉冲产生后没做任何处理。借鉴传统电路思想[1]:采用上升沿和下降沿组合的方式产生一个计数脉冲。如图6所示。

图6 传统电路抗干扰波形

由于采用了一相(A 相或B 相)的上升沿和下降沿组合产生计数脉冲的方式,在码盘发生震动或有电磁干扰在A 相或B 相产生非正常脉冲时,干扰脉冲在正向计数产生了脉冲,同时也在反向产生一个脉冲。由于采用的是可逆计数方式,干扰脉冲在反向产生的计数脉冲会抵消掉一个正向脉冲。即消去了干扰。通过仿真结果显示这种方式在一般情况下能较好的去除大部分干扰。

但是由于干扰脉冲是毫无规律的,在某些情况下传统的抗干扰电路就会暴露出一些弊端。当图7干扰脉冲B 与正常脉冲之间的间隔小于计数脉冲的宽度时,干扰脉冲B 在正向计数上产生的误计数脉冲会跟正常的计数脉冲重合到一起。同时由于干扰脉冲B 也在反向计数产生了一个脉冲。根据该设计的可逆计数器原理,则正向计数脉冲和反向计数脉冲会相互抵消,导致计数脉冲少于实际的脉冲,产生了误计数。通过ISIS 仿真软件得出误计数时波形如图7所示。

图7 产生误计数时的波形

在图7中可以看到,干扰B 产的误计数脉冲在正向计数跟正常计数脉冲连到了一起。在可逆计数器中反向计数的脉冲抵消掉一个正向脉冲,导致计数脉冲少于实际值。

4 电路改进

从以上分析可以看出,文献[1]中的改进电路也存在限制性,产生该情况的主要原因是干扰脉冲产生时刻的不确定性,这在实际工程项目中会带来很大的误计算。针对以上的误差原因,本文提出了以可编程逻辑器件CPLD[2-5]为控制芯片,来设计相应抗干扰防振动电路。

4.1 电磁干扰的抑制

由于误计数的产生原因主要是电磁干扰产生的干扰脉冲和机械震荡时码盘的来回振动。基于以上原因,本文先设计了一个抗干扰电路,如图8中的AntiInterference 模块电路,此电路主要是对输入脉冲进行抗干扰处理,主要是通过对输入信号采样来实现。采样周期和采样窗口可以通过编程来实现。输入信号只有在采样窗口内,且采样来的信号相同时才输出相应的脉冲信号,假设,只有当对输入信号连续采样到n个相同的低电平时,量化输出才认为是低电平;连续采样到n个高电平时,量化输出才认为是高电平,这样就有效地将电磁干扰产生的干扰噪声去除了。其中,在抗干扰模块的电路的设计中,主要是根据对输入时钟信号CLK 进行计数的方式来对采样周期和采样窗口的大小进行设置,从而达到采样周期和采样窗口可控的目的。经过AntiInterference 模块后输出的电路仿真波形如图9(b)和图10(b)所示。此时,输出的脉冲是没有电磁干扰的脉冲,但机械震动产生的干扰依旧存在,所以,需要对电路进行防震动的设计。

图8 优化电路原理图

图10 反转防机械震动抗干扰波形

4.2 机械震动的抑制

针对机械震动时的波形进行分析,分别设计了正反转抗震动电路,此电路是对去除干扰脉冲后的脉冲信号进行抗震动处理。在机械震动情况下的电路输出波形如图4中所示。该电路的设计思想是分别对正转震动和反转震动是的波形进行研究,从而得出相应的逻辑关系式,来输出相应的正向计数脉冲和反向计数脉冲。以正转机械震动时为例,当光电编码器正转时产生震动,此时B 相输入脉冲一直处于低电平状态,这时候A 相输入的是机械震动产生的脉冲,则在防震动电路的设计中,根据A 相输入的波形,在其下降沿的时候产生一个小的脉冲信号,这个小的脉冲信号和B 相输入信号相与,则可消除震动时误计数干扰。而对正常情况下的输入脉冲没有影响,如图9(a)所示,同理,光电编码器反转震动时的干扰也可以通过该方法去除,输出波形如图10(a)所示,设计的电路模块如图8中的AntiShake 和AntiShakeB 所示。此外,又为了后期CPU 计数的方便,在此电路中,正转时只有正向计数脉冲有脉冲输出,反转时只有反向计数脉冲有脉冲输出。因此,在本文中设计了一个D 触发器[4]来判断光电编码器的正转和反转,最后根据D 触发器的输出信号来选择输出的是正转抗震动电路波形还是反转抗震动电路波形。最后输入到CPU 中[2],进行相应的处理。D 触发器如图8中的DTrigger 所示。

总体电路设计如图8所示,整体设计思想如下:电路的输入信号是A 相脉冲、B 相脉冲以及时钟信号CLK,首先,A、B 相脉冲经过AntiInterference模块电路来去除脉冲中可能存在的电磁干扰(图5),去除电磁干扰后的脉冲信号分别输入到AntiShake和AntiShakeB 模块中,进行防震动的处理,此时输出的分别是正转时的正向计数脉冲和反向计数脉冲,反转时的正向计数脉冲和反向计数脉冲。同时,利用起始输入的脉冲A、B 信号,设计一个D 触发器DTrigger,来辨别输入信号是正转的还是反转的。图8中的IN4OUT2 模块是一个6 输入2 输出的选择器。6 输入分别是正转时的正向计数脉冲和反向计数脉冲、反转时的正向计数脉冲和反向计数脉冲以及D 触发器的正反转信号,IN4OUT2 模块根据D触发器的输入信号来选择最终的输出信号是AntiShake 模块还是AntiShakeB 模块的输出信号。

该方法的优点在于采用识别脉冲宽度滤除了干扰脉冲,所以有效避免了因为脉冲宽度而导致的误计数的发生。并且因为宽度识别由程序设计实现,对于各种复杂的脉冲只需改变程序阈值,即对于脉冲的宽度是可调的[4],因而该方法具有很强的适应性。而对于震动而产生的误计数,也在该方法中得到了有效消除。本设计逻辑实现均采用可编程逻辑器件CPLD。

5 改进效果

经过仿真结果验证,改进后的电路能有效滤除各种干扰,基本无误计数的情况发生。其工作仿真波形如图9、图10所示。

6 应用效果

根据以上分析,重新设计脉冲抗干扰防震动优化电路,如图8所示。

为了验证电路抗干扰和防震动的效果,本文人为将6 种干扰信号输入到CPLD 中,均没有发现误计数的情况;在平朔煤矿移动加油车中,由于进油量突然增大导致油车震动以及电磁干扰产生的尖峰脉冲,会对脉冲信号产生误计数;本设计在此工程中应用良好,未发现因震动和干扰等因素而影响开度测量精度的情况。

7 结论

本文分析了电磁干扰和机械震动对光电编码器计数的影响和误差原因。并分析了文献[1]中改进电路,对该电路进行仿真,得出了该电路不适用时的波形。分析其产生的原因并提出解决方案;最后提出了本文的改进电路,并在各种干扰下对电路进行验证。均为出现光电编码器误计数的情况,且本设计采用是的可编程逻辑器件CPLD[3],维护方便,适用性方面也更加广泛。

[1] 崔晓红,陈宏利.光电编码器防震动抗干扰电路的设计[J].交通与计算机,2005,23(1): 110-112.

[2] 周兴华,李玉丽,傅飞峰.手把手教你学 CPLD/ FPGA 与单片机联合设计[M].北京: 北京航空航天大学出版社,2010: 2010-2011.

[3] 候伯亨.VHDL 硬件描述语言与数字逻辑电路设计(修订版) [M].西安: 西安电子科技大学出版社,1999.

[4] 刘福奇.基于VHDL 的FPGA 和Nios II 实例精炼[M].北京: 北京航天航空大学出版社,2011.

[5] 阎石.数字电子技术基础[M].北京: 高等教育出版社,1998.

猜你喜欢
触发器震动编码器
震动减脂仪可以减肥?
画与理
基于FPGA的同步机轴角编码器
振动搅拌 震动创新
使用触发器,强化安全性
应用旋转磁场编码器实现角度测量
人工合成最不利地震动
多总线式光电编码器的设计与应用
几种常见触发器工作方式的讨论
触发器逻辑功能转换的两种方法